在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
芯片精品文章合集(500篇!)    创芯人才网--重磅上线啦!
查看: 21162|回复: 65

《MSP430系列单片机实用C语言程序设计》随书光盘

[复制链接]
发表于 2009-2-11 10:27:55 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
第1章 MSP430硬件基础知识 1
1.1 概述 2
1.2 存储器结构 2
1.3 复位 4
1.4 系统时钟 6
1.5 低功耗模式 8
1.6 中断 10
1.7 外围模块 16

第2章 C语言基础知识 17
2.1 标识符与关键字 18
2.1.1 标识符 18
2.1.2 关键字 18
2.2 数据类型 19
2.2.1 基本型 19
2.2.2 构造型 20
2.2.3 指针型 21
2.3 运算符 22
2.4 函数 26
2.5 数组 27
2.6 指针 27
2.7 位运算 29
2.8 存储类型 29
2.8.1 变量 29
2.8.2 函数 30
2.9 预处理功能 30
2.9.1 宏定义 30
2.9.2 条件编译 31
2.9.3 文件包含 31
2.10 程序的基本结构 32
2.10.1 顺序结构 32
2.10.2 选择结构 32
2.10.3 循环 34
2.10.4 跳转 35

第3章 IAR C编译器的使用 37
3.1 概述 38
3.1.1 特性 38
3.1.2 软件结构 38
3.1.3 文件类型 39
3.2 开发调试环境 40
3.2.1 创建一个工程 40
3.2.2 编译链接项目 43
3.2.3 项目设置 44
3.2.4 调试 50
3.3 语言扩展 55
3.3.1 扩展关键字 56
3.3.2 内部函数 57
3.3.3 扩展定义 60
3.4 C语言与汇编语言混合使用 63
3.4.1 调用内部函数 63
3.4.2 直接嵌入 63
3.4.3 调用汇编模块 64
3.5 编写高质量的代码 67
3.6 函数库 68

第4章 开发工具 97
4.1 JTAG仿真器、编程器 98
4.2 BSL编程器 99

第5章 程序设计的规范与结构 101
5.1 程序规范 102
5.2 程序结构 106
5.3 框架程序 109

第6章 MSP430异步串行通信 123
6.1 串行通信简介 124
6.2 串行通信软件实现 125

第7章 定时器 137
7.1 16位定时器 138
7.1.1 定时中断 139
7.1.2 PWM输出 142
7.1.3 捕获脉冲信号周期 149
7.1.4 软件模拟异步串行通信 153
7.2 基本定时器 161

第8章 FLASH的读写、擦除与I/O端口 167
8.1 FLASH的读写和擦除 168
8.2 I/O端口 174
8.2.1 非行列式键盘 175
8.2.2 行列式键盘 185

第9章 DMA数据传输与IIC总线 193
9.1 DMA数据传输 194
9.2 IIC总线 200

第10章 FLL+锁频环与液晶屏驱动模块 215
10.1 FLL+锁频环 216
10.2 液晶屏驱动模块 218

第11章 AD、DA转换 233
11.1 ADC12 234
11.2 DAC12 244

第12章 比较器A 251
12.1 斜边AD转换 252
12.2 电阻值测量 260

第13章 特殊处理 267
13.1 中断嵌套 268
13.2 程序异常处理 270

附录A MSP430基本电路图

《MSP430系列单片机实用C语言程序设计》随书光盘.rar

187.27 KB, 下载次数: 905 , 下载积分: 资产 -2 信元, 下载支出 2 信元

发表于 2009-2-12 19:45:19 | 显示全部楼层
谢谢!!!!!!!!!!!!!!!!!!!!!!!!!
发表于 2009-8-22 07:14:09 | 显示全部楼层

多谢你了哦

多谢你了哦
发表于 2009-8-22 10:19:54 | 显示全部楼层
谢谢
发表于 2009-8-23 19:52:06 | 显示全部楼层
谢谢!!!!!!!!!!!!!!!!!!!!!!!!!
发表于 2009-8-27 14:45:30 | 显示全部楼层
支持啊
发表于 2009-10-6 17:11:01 | 显示全部楼层
這好東西喔
謝謝大大
发表于 2009-11-17 23:01:38 | 显示全部楼层
很好多谢
发表于 2009-12-31 09:55:08 | 显示全部楼层
谢谢提供
发表于 2009-12-31 10:06:02 | 显示全部楼层
谢谢提供
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 06:17 , Processed in 0.032144 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表