在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 13464|回复: 2

FPGA 学习

[复制链接]
发表于 2008-10-27 11:56:06 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
FPGA系统设计培训班
课程介绍
     
     FPGA系统设计培训课程主要帮助学员尽快掌握 CPLD/FPGA 的开发流程和设计方法,以工程实践为例,循序渐进的学习FPGA的集成开发环境,开发流程以及硬件电路设计等知识。每次课程都配有相关实战训练,每个实战训练题目都可以在FPGA硬件平台上进行下载验证。通过实战,学员可以更好的理解消化课堂知识,工程实践水平会得到迅速提高。
培训对象
     适合于使用FPGA器件进行科研、教学和产品开发的工程师、教师等工程技术人员,也适合于相关专业领域的研究生和高年级本科生。参加学习的学员要求具有数字电路、计算机原理和C语言的基本知识
工具平台
软件工具:
    ◇Altera QuartusⅡ;◇Altera SOPC Builder; ◇ModelSim XE或ModelSim SE
  硬件工具:
    ◇高性能PC机;◇基于ALTERA公司 NIOSⅡ开发板;
学时费用
    1.课时:48课时,6天。讲课占40%,演示实验占20%,学员自主实验占40%。
    2.培训费用(含教材费和实验器材费):个人自费(1500元),学生自费(1200元,凭有效证件)
    3.外地学员:代理安排食宿(需提前预定)  
授课方法
    用理论与上机实践同步的专题讲解,结合交流、讨论、案例分析等互动的方式,学习后向经考核合格的学员颁发证书。并为学员与专家、学员与学员之间建立广阔的交流平台,使学员在学习后也可以与专家共同解决在自己工作实践中碰到的困惑与难题。
培训资料
     《易凡软件FPGA系统设计班专用培训教程》
主讲老师
     【赵老师】六年大型FPGA系统、逻辑设计及高速系统设计经验,精通Altera公司的全系列FPGA/ CPLD。作为著名跨国企业ECI中国研发中心核心研发团队FPGA高级项目经理及芯片系统设计核心人员,有着丰富的FPGA/asic系统设计及板级设计经验,对FPGA系统及芯片设计/验证/优化有深入理解,曾参与多个国家863重大专项,成功参与神州五号火箭推进剂燃速测试系统,高炮位移精确测试系统,高速多通道数据采集系统,后3G移动通信测试仪器-信道模拟器,光纤接入层系统等十多个大型项目的设计与研发。

质量保障
     1、培训过程中,如有部分内容理解不透或消化不好,可免费在以后培训班中重听;
    2、培训结束后免费提供三个月的技术支持,充分保证培训后出效果.
优惠制度
     1、团体报名优惠措施:两人95折优惠,三人或三人以上9折优惠
     2、同时报选《FPGA应用设计提高班》,即享受500元现金优惠!
时间地点
     周末班(周六日上课):滚动开班
    连续班(连续上课):滚动开班
    易凡软件保留开课时间调整的权利,欢迎来电洽询  
   
咨询电话
   029-85399235
     http://www.efan-soft.com   



课 程 大 纲

第一天

学习目标:
    帮助学员了解FPGA系统设计的基础知识,掌握FPGA发展最新技术动态,同时基于QuartusⅡ7.2工具软件,引导学员一步步完成从设计输入到下载调试,掌握完整FPGA设计流程.

1.1.CPLD/FPGA技术现状和发展方向
◇CPLD/FPGA典型应用领域
◇传统CPLD(基于CMOS,EEPROM工艺)结构,性能指标及应用介绍
◇新一代CPLD(MAXⅡ系列)的结构, 性能指标与布线规则以及应用介绍  
1.2.新型FPGA核心技术概述与结构分析
◇低成本Cyclone系列FPGA基本结构分析,包括时钟管理资源, 内嵌RAM资源等
◇高密度Stratix系列FPGA时钟管理资源, 内嵌RAM, dsp模块性能及对比分析
◇工程项目中FPGA芯片的选型策略和原则
实验一:基于ALTERA开发板上运行LCD液晶显示程序
训练知识点:
◇Quartus II工程创建及属性设置
◇Quartus II两种源文件设计输入方式
◇Quartus II约束设计
◇Quartus II工程编译 ◇Quartus II功能仿真
◇Quartus II时序仿真
◇Quartus II编程下载

第二天
学习目标:
    掌握FPGA最小系统的硬件设计方法,能够在工程中设计一般的FPGA系统. hdl语言是FPGA设计的主要工具,本次课程重点帮助学员掌握当前最为流行的描述语言---VHDL语言的基本语法,以及常用的组合逻辑和时序逻辑设计.
2.1.FPGA最小系统设计
◇FPGA最小系统基本组成
◇FPGA下载配置接口电路设计(JTAG/AS/PS)
◇高速SDRAM存储器接口电路设计
◇异步SRAM(ASRAM)存储器接口电路设计
◇FLASH存储器接口电路设计
◇VGA接口电路设计
◇PS/2鼠标及键盘接口电路设计
◇字符型液晶显示器接口电路设计
◇RS-232串口
电源电路设计
◇复位电路设计
◇时钟电路设计
2.2.VHDL语言设计
◇VHDL语言简介以及与verilog HDL对比
◇VHDL程序的基本结构(实体/结构体)
◇VHDL语言的常用数据类型和运算符
◇VHDL语言常用顺序描述语句  
◇VHDL语言常用并行描述语句
◇组合逻辑电路的VHDL语言描述
◇时序逻辑电路的VHDL语言描述
实验二:"3-8译码器实现"
训练知识点:
◇Quartus II软件进一步熟练
◇VHDL程序设计的基本结构  ◇IF语句与CASE语句的区别
◇组合逻辑用VHDL语言实现  
实验三:"跑马灯程序设计"
训练知识点:  
◇Quartus II软件进一步熟练
◇VHDL语言描述移位寄存器  ◇时序逻辑电路设计实现
◇分频电路设计方法

第三天
学习目标:
    进一步针对VHDL语言常用概念进行深入理解,同时学习VHDL语言的高级功能,以便在复杂数字系统中能够熟练的运用.通过实验训练工程应用中常用电路模块的设计技巧.
3.VHDL语言的高级应用
◇实体的应用技巧
◇信号(Signal)与变量(Variable) 的区别
◇进程设计注意事项
◇时钟描述方式及内部模块时钟管理技巧
◇双向数据总线问题
◇三态电路
◇时序匹配技巧
◇RAM,fifo的设计方法及应用技巧
实验四:奇数/偶数分频器电路设计
训练知识点:
◇Quartus II软件进一步熟练
◇变量与信号的区别  ◇计数电路的常用技巧
◇奇数分频电路设计方法
实验五:FIFO电路设计
训练知识点:  
◇Quartus II软件进一步熟练
◇FIFO电路的组成结构 ◇多模块电路的设计

第四天
学习目标:
    本课程主要学习QuartusⅡ7.2的高级应用技巧以及数字设计高级技巧.包括锁相环(PLL),MegaCore(IP),有限状态机(FSM)等的应用,此外学习FPGA典型应用工具SignalTAP和LogicLock,可以提高FPGA设计和调试的效率.  
4.QuartusⅡ7.2的高级应用
◇Altera 公司以及其他公司提供的IP的使用
◇锁相环(PLL)的原理以及使用
◇有限状态机(FSM)的设计原理及其代码风格
◇可综合的代码设计风格 ◇SignalTap II在线逻辑分析仪使用方法
◇使用SOPC Builder进行软件开发与调试
◇Logic Lock逻辑锁定工具使用技巧
实验六:典型状态机设计实例
训练知识点:
◇两种状态机的特定
◇状态机的编码(Binary、gray-code、one-hot等) ◇状态机的定义风格与编码风格
实验七:SignalTap II功能实践
训练知识点:  
◇SignalTap II调试原理
◇SignalTap II在线调试
实验八ogicLock功能实践
训练知识点:  
◇Quartus II原理图输入方式
◇LogicLock逻辑锁定的操作方法

第五天

学习目标:
    本课程重点介绍Altera公司基于NIOSII软核的SoPC系统设计流程和方法,建立学员基于FPGA的嵌入式开发的概念,并体会SOPC带来的灵活性.
5.SoPC系统设计
◇FPGA片上系统的开发流程
◇片上微处理器的几种系统设计方案
◇片上微处理器与外设之间的通信 ◇基于NIOS II的硬件系统设计流程
◇使用SOPC Builder进行软件开发与调试
实验九:基于NIOSII处理器的Hello Led程序
训练知识点:
◇QuartusII SOPC Builder使用方法
◇NIOSII IDE软件开发流程 ◇基于NIOSII的C语言设计方法
实验十:基于NIOSII的UART实验
训练知识点:  
◇UART通信原理
◇基于NIOSII开发的驱动调用方法. ◇NIOSII 开发的软件调试方法.
第六天

学习目标:
    复习前面五天学习的内容,按照正规FPGA设计团队的要求,组建FPGA设计团队,进行综合项目训练
6.FPGA综合项目训练
训练知识点:  
◇前面五天学习到的FPGA开发知识
◇要求熟练掌握项目需求报告编写,任务功能的模块化技巧
◇复杂数字系统的仿真以及综合约束技巧等
发表于 2008-10-27 21:46:20 | 显示全部楼层
不便宜啊
发表于 2014-8-16 20:13:09 | 显示全部楼层
FPGA 学习
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 00:58 , Processed in 0.028486 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表