在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 30030|回复: 90

网上有的EDA工具的安装方法整理

[复制链接]
发表于 2008-9-27 23:11:16 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
配置 Redhat Enterpris Linux AS3 Writed by pickpunk 所需的rpm 软件包可到 http://rpm.pbone.net 搜所得到 1. 安装字体 ①安裝xttmgr 这个字体管理软件,我们等一下要用这个软件安装字体: # rpm -ivh xttmgr-0.9-3.i386.rpm 安裝好后在终端机下输入指令即可,该程序有相关的命令,如: # xttmgr --add(新增字体) # xttmgr --remove(删除字体).......等,可打"xttmgr"來查勘相关內容,相当方便。 ②安装fireflysung 字体: # xttmgr --add fireflysung.ttf ③安装simsun 字体: # xttmgr --add simsun.ttf 将 fireflysung.ttf 和simsun.ttf 两个文件复制到 /usr/X11R6/lib/X11/fonts/TTF, /usr/X11R6/lib/X11/fonts/TrueType, /usr/share/fonts/zh_CN/TrueType, /usr/share/fonts/zh_TW/TrueType 目录下。 ④让 xttmgr 自动修改字体设定档,过程中会有一个问题请输入「y」 # xttmgr –auto ⑤建立字体信息 cache 文档 # fc-cache -f -v ⑥在【主菜单】→【首选项】→【字体】里将里面的所有字体换成AR PL New Sung(文鼎新宋)” 2. 安装apt ① # rpm -ivh apt-0.5.15cnc6-4.1.el3.rf.i386.rpm ②编辑source list 文件: # gedit /etc/apt/sources.list.d/dag.list 添加以下几行: rpm http://apt.sw.be redhat/el3/en/i386 dag rpm-src http://apt.sw.be redhat/el3/en/i386 dag rpm http://redhat.uni-klu.ac.at redhat/dag/el3/i386 dag rpm-src http://redhat.uni-klu.ac.at redhat/dag/el3/i386 dag ③更新套件: # apt-get update ④将系统原来的 up2date 软件包移除 # apt-get remove up2date ⑤安装synaptic 套件管理程序: # apt-get install synaptic 3. 安装词典星际译王 ①安装星际译王主程序 # rpm -ivh stardict-2.4.2-1.firefly.i386.rpm ②安装汉英词典 # rpm -ivh stardict-xdict-ce-gb-2.4.2-1.noarch.rpm ③安装英汉词典 # rpm -ivh stardict-xdict-ec-gb-2.4.2-1.noarch.rpm 4. 安装openoffice2.0 # mount -t iso9660 -o loop Openoffice-2.0.2-Linux-Install-20060320.iso /mnt/cdrom # cd /usr/X11R6/lib # ld --whole-archive -share -o libXinerama.so.1 libXinerama.a # ln -s libXinerama.so.1 libXinerama.so # /sbin/ldconfig # cd /mnt/cdrom # ./setup.sh # umount /mnt/cdrom 5. 安装realplayer # rpm -ivh RealPlayer10GOLD.rpm 6. 安装totem ①安装toem主程序 # apt-get install xine-lib # apt-get install lirc # rpm -ivh totem-0.99.7-1.fr.i386.rpm ②安装Win32 Codecs 编码器 # tar -jxvf win32_all-20060611.tar.bz2 # mv all-20060611 /usr/local/lib/codecs # ln -s /usr/local/lib/codecs /usr/lib/win32 7. mplayer # apt-get install mplayer 8. 安装adobe pdf reader # rpm -ivh AdobeReader_chs-7.0.1-1.i386.rpm 9. 安装gthumb # apt-get install gthumb 10. 安装LumaQQ ①到http://lumaqq.linuxsir.org 下载最新程序. ②进入目录/usr/local # cd /usr/local ③解压缩 # tar zxvf lumaqq_2005-linux_gtk2_x86_with_jre.tar.gz ④安装path # unzip lumaqq_2005_patch_2006.02.02.15.00.zip ⑤将解压出的文件复制到/usr/local/LumaQQ/lib,覆盖原来的文件. 11. 更改splish 画面 将/usr/share/pixmaps/splash 下的gnome-splash1.png 文件替换掉即可. 12. 挂载ntfs 分区 # rpm -ivh kernel-ntfs-2.4.21-4.EL.athlon.rpm 13. 挂载优盘 # makdir /mnt/usb # mount -t vfat -O isocharset=gb2312,umask=0,codepage=936 /dev/sda1 /mnt/usb 在 Redhat Enterpris Linux AS3 下安装 EDA 软件 使用 cshrc安装软件,如果以 root 来安装软件,会有文件权限的问题,则使用软件时只能以 root 登录来使用. 一、安装 Cadence tools 1. 安装 Cadence IC5141 打开一个终端(GNOME) 1).以 root登入 # su - Password:> (在这里输入root 的密码) 2).硬盘上建立一个 cadence_install目录,用来安装 Cadence # mkdir /edainstall/cadence_install 3).将 cadence 安装光盘内的所有内容复制到/edainstall/cadence_install # cp -r /mnt/cdrom/IC5141_base/* /edainstall/cadence_install 注意:这一步是很重要的,因为 cadence 的安装程序从光盘读取时极其容易出错。 4).进入下面的目录:/edainstall/cadence_install #cd /edainstall/cadence_install 解压缩 tar 文件 #tar xvf Base_IC5141_lnx86_1of3.tar #tar xvf Base_IC5141_lnx86_2of3.tar #tar xvf Base_IC5141_lnx86_3of3.tar 5).进入下面的目录:/edainstall/cadence_install/IC5141_lnx86.Base/CDROM1/ #cd /edainstall/cadence_install/IC5141_lnx86.Base/CDROM1/ 现在开始正式安装 ⑴在这个目录下运行安装脚本文件 SETUP.SH,注意大小写 # ./SETUP.SH ⑵Specify path of install directory [OR type [RETURN] to exit]: (指定安装路径) # /eda_tool/cadence/IC5141 ⑶Directory /Cadence does not exist. Create? [y/n]: # y ⑷Do you want to start softload? [y/n]: # y ⑸Warning: Software administration should not be done as root because it could cause file permissions problems while extracting software Press [Return] to proceed, q to quit : # (按回车即可) ⑹主菜单 The available options are: 1) Load Available Products 2) List Installed Products 3) Remove Installed Products 4) (unavailable option) Configure Installed Products 5) (unavailable option) Test Installed Products 6) Update Installed Products h) Help q) Quit Type your choice: [ 1 ] 1 # 1 (Load available Products) ⑺ You must now identify where the CD-ROM is mounted. 1) Local (mounted to this machine) 2) Remote (mounted to another machine) m) Main Menu h) Help Type your choice: [ 1 ] 1 # 1 (Local (mounted to this machine)) ⑻ Specify the CD-ROM mount point 1) /cdrom 2) Other m) Main Menu p) Previous Menu h) Help Type your choice: [ 2 ] 2 # 2 (Other) ⑼type the CD-ROM mount point: [ /edainstall/cadence_install/IC5141_lnx86.Base/CDROM1 ] # (按回车即可) ⑽Do you want to view the README file? Please press y (yes) or n (no) : # n (太长了,还是不要看了) ⑾Follow the standard Cadence installation instructions. Press [Return] to proceed, q to quit : # (按回车即可) ⑿Where is the installation information? 1) (unavailable option) Work Order 2) E-mail File 3) Tape 4) Cadence Catalog 5) Documentation Catalog h) Help p) Previous Menu q) Quit Type your choice: [ 2 ] 4 # 4 (Cadence Catalog) Loading appropriate product installation information... ⒀选择需安装的产品 a) All of the above n) None of the above p) Previous Menu Type your choice (a,n,p,1-3,2 5 9 ...): a # a (All of the above) 通过按空格键到产品列表末尾 ⒁Are your selections correct? [y/n] # y Disk checks successful. The following products will be installed or updated. 通过按空格键到产品列表末尾 ⒂The following media is required: IC5141 lnx86 P/N 356-70352-0501 CDROM # 1 IC5141 lnx86 P/N 356-70352-0502 CDROM # 2 IC5141 lnx86 P/N 356-70352-0503 CDROM # 3 Press [Return] to proceed, q to quit : # (按回车即可) Checking for IC5141 lnx86 P/N 356-70352-0501 CDROM # 1 ......... Extracting control programs ......... Updating package installation information....................................... ……………… Checking data files... Executing control programs (pre-load) ... Checking IC5141 lnx86 P/N 356-70352-0501 CDROM # 1 这时开始安装了, CDROM # 2和 CDROM # 3 将会自动加载,现在可以去充杯咖啡,等它装完 6). 验证安装: ① The available options are: 1) Load Available Products 2) List Installed Products 3) Remove Installed Products 4) Configure Installed Products 5) (unavailable option) Test Installed Products 6) Update Installed Products h) Help q) Quit Type your choice: [ 1 ] 2 # 2 (List Installed Products) ②Specify the operating system of the products: No. Operating system 1) SunOS Operating System (sun4) 2) Solaris Operating System (sun4v) 3) Linux Operating System (lnx86) 4) HP-UX Operating System (hppa) 5) IBM AIX Operating System (ibmrs) Type your choice [ 1 - 5 ]: # 3 (lnx86) 将会列出所安装的软件名 ③Press [RETURN] to continue: # (按回车即可) 现在已经完成了 IC5033的安装,可以退出啦。 ④The available options are: # q (Quit) 2. 安装 Cadence Assura314 ASSURA314 的安装过程和 IC5141 几乎一样。 选择安装路径为/eda_tool/cadence/ASSURA314 3. 安装 Cadence Aptivia41(VSDE41) 在安装 Aptivia41 前必须先安装 IC5141 的产品。 Aptivia41 的安装过程和 IC5141 几乎一样。 选择安装路径为/eda_tool/cadence/VSDE41 4. 安装 Cadence LDV51 LDV51 的安装过程和 IC5141 几乎一样。 选择安装路径为/eda_tool/cadence/LDV51 5. 安装 Cadence USIM42 LDV51 的安装过程和 IC5141 几乎一样。 选择安装路径为/eda_tool/cadence/USIM42 6. 安装 Cadence SNA32 LDV51 的安装过程和 IC5141 几乎一样。 选择安装路径为/eda_tool/cadence/SNA32 7. 安装 Cadence PSD151 LDV51 的安装过程和 IC5141 几乎一样。 选择安装路径为/eda_tool/cadence/PSD151 8. 破解 Cadence 软件 ①复制 hacker 和hacker.script 两个文件到/eda_tool/cadence 目录下 ②建立符号连接 # ln -s /eda_tool/cadence/IC5141/tools.lnx86 /eda_tool/cadence/IC5141/tools # ln -s /eda_tool/cadence/ASSURA314/tools.lnx86 /eda_tool/cadence/ASSURA314/tools # ln -s /eda_tool/cadence/VSDE41/tools.lnx86 /eda_tool/cadence/VSDE41/tools # ln -s /eda_tool/cadence/LDV51/tools.lnx86 /eda_tool/cadence/LDV51/tools # ln -s /eda_tool/cadence/USIM42/tools.lnx86 /eda_tool/cadence/USIM42/tools # ln -s /eda_tool/cadence/SNA2/tools.lnx86 /eda_tool/cadence/SNA32/tools # ln -s /eda_tool/cadence/PSD151/tools.lnx86 /eda_tool/cadence/UPSD151/tools ③修改 hacker.script 文件, 使其一次之破解一个工具, 扫描的文件太多会造成死机 # gedit hacker.script ④运行 hacker 文件 # ./hacker hacker.script > /dev/tty ⑤破解完一个工具后再次修改 hacker.script 文件,破解另外的工具 二、安装 Synopsys tools。 1. 安装 synopsysinstaller # cd synopsysinstaller_v1.1_linux 解压缩即可 # tar -zxvf synopsysinstaller_v1.1.tar.Z 2. 安装 scl10.9 ①解压缩 # cd scl_v10.9.1_linux # tar -xvf scl_v10.9.1_common.tar # tar -xvf scl_v10.9.1_linux.tar ②运行 synopsysinstaller # ./synopsysinstaller_v1.1_linux/setup.sh ③使用图形界面安装 scl10.9 选择安装路径为/eda_tool/synopsys/scl10.9 3. 安装 synopsys DC200509 ①解压缩 # tar -xvf syn_vX2005.09_common.tar # tar -xvf syn_vX2005.09_linux.tar ②运行 synopsysinstaller # ./synopsysinstaller_v1.1_linux/setup.sh ③使用图形界面安装 DC200509 选择安装路径为/eda_tool/synopsys/dc0509 4. 安装 nanosim ①解压缩 #tar -xvf nanosim_vX2005.09_common.tar #tar -xvf nanosim_vX2005.09_linux.tar ②运行 synopsysinstaller #./synopsysinstaller_v1.1_linux/setup.sh ③使用图形界面安装 nanosim0509 选择安装路径为/eda_tool/synopsys/nanosim 5. 安装 CosmosScope0603 Synopsys 的其他工具安装方法差不多,这里就不多啰嗦了,下面来说以下 Hspice的安装。 6. 安装 Hspice 必需先安装Hspice所需的库, 否则无法配置Hspice ①删除现有的 tcl 和tk,这两个是较新的 #apt-get remove tcl #apt-get remove tk ②安装 hspice 所需的库 # rpm -ivh tcl-8.3.3-65.i386.rpm # rpm -ivh tk-8.3.3-65.i386.rpm # rpm -ivh tix-8.2.0b1-65.i386.rpm ③进入放有 Hspice 的目录 解压缩 tar文件 # tar -xvf hspice_vX2005.09_common.tar # tar -xvf hspice_vX2005.09_linux.tar ④运行 synopsysinstaller # ./synopsysinstaller_v1.1_linux/setup.sh ⑤使用图形界面安装 Hspice200509 选择安装路径为/eda_tool/synopsys/hspice0509 安装完成后会跳出 synopsys 的Hspice 配置窗口 ①点击“Close to Continue” ②出现“Configure Products”窗口 1) 确定安装路径为/eda_tool/synopsys/hspice0509 2) 全部选择 Configure 项目 3) Configuration File 选默认值 4) Expand tar files 选择 all 5) Cadence artist interface SETUP 选择默认项 ③点击Configure ④完成后点击 Exit 跳出窗口告诉你 Configure log 的保存路径,点击“OK” 跳出窗口告诉你运行 Hspice 前不要忘记在/eda_tool/synopsys/hspice0509/hspice/bin目录下 source cshrc.meta 三、 安装Matlab 7 1) 建立 Matlab 的安装目录 # mkdir /eda_tool/matlab7 2) 将 license.dat 文件复制到 Matlab 目录下 # cp license.dat /eda_tool/matlab7/license.dat 3) 挂载 Matlab的 ISO 文件 # mount -t iso9660 -o loop matlab_cd1.iso /mnt/cdrom 4) 运行安装程序,开始安装 #cd /mnt #./cdrom/install 现在开始正式安装 ①跳出一个图形窗口: "MATLAB Installation": 点击”OK”按钮开始安装. ②跳出另一个图形窗口: "License Agreement": 点击”Yes”按钮继续. ③选择 Matlab 的根目录 输入/eda_tool/matlab7, 点击”OK”按钮确认. ④"License File" 对话框打开: 点击”OK”按钮继续. ⑤"Installation Options" 对话框打开: 选择Platform Linux, 把所有的项目都加进"Item to install:"栏. ⑥"Installation Data" 对话框打开: 检查"Create symbolic links to MATLAB and mex scripts". "Specify directory to put links in" 应该是 /usr/local/bin 点击”OK”按钮开始安装 Matlab. ⑦"Begin Installation" 对话框打开: 点击”OK”按钮真正开始安装. ⑧安装过程需要更换 ISO 文件, # umount /mnt/cdrom # mount -t iso9660 -o loop matlab_cd2.iso /mnt/cdrom # umount /mnt/cdrom # mount -t iso9660 -o loop matlab_cd3.iso /mnt/cdrom ⑨"Installation Complete"对话框打开: 点击”Exit”按钮"完成安装. 5) 打开 "license.lic" 文件, 把 "hostname"替换成 ”localhost.localdomain” 6) 将修改好的"license.lic" 文件复制到/eda_tool/matlab7/etc 目录下. -If you choose to run FleXlm manually(login not as root): > /usr/local/matlab7p0/etc/lmstart -To start Matlab, type: > matlab & -And now, Matlab will start! 四、放置 license 1). 将license_synopsys.dat 文件放置在/eda_tool/synopsys/scl10.9/admin/license目录下, 并重命名为license.dat ①使用这个 license 必需要启动 FleXlm license server: #/eda_tool/synopsys/scl10.9/linux/bin/lmgrd -c /eda_tool/synopsys/scl10.9/admin/license/license.dat -l /eda_tool/synopsys/scl10.9/admin/logs/log ②不用时关闭 FleXlm license server: # /eda_tool/synopsys/scl10.9/linux/bin/lmdown -c /eda_tool/synopsys/scl10.9/admin/license/license.dat 2). 将license_cadence.dat 文件放置在/eda_tool/cadence/IC5141/share/license 目录下, 并重命名为 license.dat 3). 将license_hspice.dat 文件放置在/eda_tool/synopsys/hspice0509/admin/license 目录下, 并重命名为 license.dat 4) 将修改好的"license_matlab.lic" 文件复制到/eda_tool/matlab7/etc目录下.并重命名为 license.lic 五、 安装NCSU_CDK 1). 将ncsu-cdk-1.5.1.tar.gz 复制到/eda_tool/design_kit 目录下 解压缩 # tar zxvf ncsu-cdk-1.5.1.tar.gz 解出一个 ncsu-cdk-1.5.1文件夹 2). 复制ncsu-cdk-1.5.1里的.cdsinit 文件到自己的 cadence 工作目录 3). 复制ncsu-cdk-1.5.1里的/cdssetup/cds.lib文件到自己的 cadence 工作目录 六、 设置环境变量 # mkdir /eda_tool/shrc # cd /eda_tool/shrc # gedit eda_cshrc ################################################################################### setenv LD_ASSUME_KERNEL 2.4.1 #for synopsys tools: #Design Compiler setenv SNPSLMD_LICENSE_FILE 27000@localhost.localdomain setenv LM_LICENSE_FILE /eda_tool/synopsys/scl10.9/admin/license/license.dat # setenv SNPSLMD_LICENSE_FILE $SYNOPSYS/admin/license/key setenv SYNOPSYS /eda_tool/synopsys/dc0509 set path=($path $SYNOPSYS/linux/syn/bin) #Star Hspice setenv LM_LICENSE_FILE /eda_tool/synopsys/hspice0509/hspice/license.dat source /eda_tool/synopsys/hspice0509/hspice/bin/cshrc.meta #VCS: setenv VCS_HOME /eda_tool/synopsys/vcs7.0.1 # setenv SNPSLMD_LICENSE_FILE $VCS_HOME/license.dat set path=($path $VCS_HOME/bin) #Epic Tools (nanosim, pwarc, etc.): source /eda_tool/synopsys/nanosim0509/CSHRC_linux #Star-RCXT source /eda_tool/synopsys/rcxt0506/star-rcxt_setup #SaberDesigner: # setenv AILM_LICENSE_FILE /eda_tool/synopsys/saber/license.dat set path=($path /eda_tool/synopsys/saber/ai_bin) #CosmosScope: # setenv AILM_LICENSE_FILE /eda_tool/synopsys/CosmosScope0603/CosmosScope/license.dat set path=($path /eda_tool/synopsys/CosmosScope0603/ai_bin) #Simif source /eda_tool/synopsys/simif0509/CSHRC_simif #PrimeTime setenv PT_HOME /eda_tool/synopsys/pt0512 set path=($path $PT_HOME/linux/syn/bin) #Astro setenv ASTRO_HOME /eda_tool/synopsys/astro0512 set path=($path $ASTRO_HOME/bin/IA.32) #Formility setenv FORMILITY_HOME /eda_tool/synopsys/Formility200512 set path=($path $FORMILITY_HOME/linux/fm/bin) #PP setenv PP_HOME /eda_tool/synopsys/pp0512 set path=($path $PP_HOME/linux/syn/bin) #hercules source /eda_tool/synopsys/hercules/setup/hercules_setup.csh ####################################################################################### # The following is for Cadence ####################################################################################### # User specific aliases and functions setenv EDITOR /usr/bin/nedit #setenv LM_LICENSE_FILE /eda_tool/cadence/IC5141/share/license/license.dat # For NCSU_CDK setenv CDK_DIR /eda_tool/design_kit/ncsu-cdk-1.5.1 # IC (start by typing >icfb or >icms) setenv CDS_LIC_FILE /eda_tool/cadence/share/license/license.dat setenv CDSHOME /eda_tool/cadence/IC5141 setenv CDSDIR /eda_tool/cadence/IC5141 setenv CDS_ROOT /eda_tool/cadence/IC5141 setenv CDS_INST_DIR /eda_tool/cadence/IC5141 setenv CDS_INSTALL_DIR /eda_tool/cadence/IC5141/tools/dfII setenv LANG C setenv CDS_Netlisting_Mode "Analog" set path=($path $CDS_INSTALL_DIR/bin $CDSHOME/tools/bin $CDSHOME/tools/plot/bin $CDSHOME/tools/dracula/bin) # ASSURA (start from icfb) setenv ASSURAHOME /eda_tool/cadence/ASSURA314 set path=($path $ASSURAHOME/tools/assura/bin $ASSURAHOME/tools/bin) # VSDE (start from icfb) setenv ACV_ROOT /eda_tool/cadence/VSDE33/tools/acv setenv LD_LIBRARY_PATH /eda_tool/cadence/IC5141/tools/lib set path=($path $ACV_ROOT/bin) # LDV (start by typing >nclaunch) setenv LDVHOME /eda_tool/cadence/LDV51 setenv LD_LIBRARY_PATH "$LD_LIBRARY_PATH $LDVHOME/tools/lib $LDVHOME/tools/inca/lib $LDVHOME/tools/verilog/lib" set path=($path $LDVHOME/tools/dfII/bin $LDVHOME/tools/bin) # USIM (start by typing >ultrasim) setenv USIMHOME /eda_tool/cadence/USIM42 set path=($path $USIMHOME/tools/bin) # SNA (start from icfb in virtuoso layout by SeismIC in the Tools menu) setenv SNAHOME /eda_tool/cadence/SNA32 setenv SEISMIC_INST_DIR $SNAHOME/tools/seismic setenv SEISMIC_PATH $SNAHOME/tools/seismic setenv SIMPLEX_HOME $SNAHOME/tools setenv SUBSTRATESTORMHOME $SIMPLEX_HOME/SubstrateStorm setenv SUBSTRATESTORMSITE $SUBSTRATESTORMHOME/etc/examples/site setenv LD_LIBRARY_PATH "$LD_LIBRARY_PATH $SIMPLEX_HOME/tools/lib" set path=($path $SNAHOME/tools/bin $SNAHOME/tools/seismic/bin) # PSD (start by typing >projmgr) setenv PSDHOME /eda_tool/cadence/PSD151 set path=($path $PSDHOME/tools/bin) ########################################################################################### #### 编辑.cshrc: # gedit /root/.cshrc 增加 source /eda_tool/shrc/eda_cshrc 全部安装完成,重新启动计算机,在终端中即可运行各 EDA 工具了。
发表于 2008-9-28 13:06:59 | 显示全部楼层
这个比较乱哦
整理下
发表于 2008-10-3 10:44:06 | 显示全部楼层
这个,怎么看?
发表于 2008-10-9 09:59:23 | 显示全部楼层
很好很好,可惜是有点乱,整理一下吧
发表于 2008-10-9 11:46:40 | 显示全部楼层
谢谢分享!
发表于 2008-10-16 02:51:18 | 显示全部楼层
很亂 希望整理一下

感謝分享
发表于 2008-10-21 20:02:12 | 显示全部楼层
請問一下,你的AS3是哪一版的???
发表于 2008-10-21 22:45:33 | 显示全部楼层
能不能告诉下design compiler的安装步骤啊,谢谢
发表于 2008-10-22 09:44:29 | 显示全部楼层
太乱,不容易看。
发表于 2008-11-16 11:18:56 | 显示全部楼层
貌似有点乱
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-23 14:26 , Processed in 0.038138 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表