在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 24872|回复: 28

UltraEdit-32 SystemVerilog高亮文件

[复制链接]
发表于 2008-8-26 07:18:54 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
/L15"Systemverilog" Line Comment = // Block Comment On = /* Block Comment Off = */ Block Comment On Alt = (* Block Comment Off Alt = *) String Chars = " File Extensions = SV SVH
/Delimiters = [email=~!@#%^&*()-+=|\/]~!@#%^&*()-+=|\/[/email]{}[]:;"<> , .?
/C1"Keywords"
alias always always_comb always_ff always_latch and assert assign assume automatic
before begin bind bins binsof bit break buf bufif0 bufif1 byte
case casex casez cell chandle class clocking cmos config const constraint context continue cover covergroup coverpoint cross
deassign default defparam design disable dist do
edge else end endcase endclass endclocking endconfig endmodule endgroup endfunction endgenerate endinterface endmodule endpackage
endprimitive endprogram endproperty endspecify endsequence endtable endtask enum event expect export extends extern
final first_match for force forever fork forkjoin function
generate genvar
highz0 highz1
if iff ifnone ignore_bins illegal_bins import incdir include initial inout input inside instance int interface integer intersect
join join_any join_none
large liblist library local localparam longint
macromodule matches medium modport module
nand negedge new nmos none nor noshowcancelled not notif0 notif1 null
or output
package packed parameter pulsestyle_onevent pulsestyle_ondetect pmos posedge primitive priority program property protected pull0 pull1 pullup pulldown pulsestyle_onevent pulsestyle_ondetect pure
rand randc randcase randsequence randomize real realtime ref reg release return repeat rcmos rnmos rpmos rtran rtranif0 rtanif1
scalared sequence showcancelled shortint shortreal signed small solve specify specparam static strength string strong0 strong1 struct super supply0 supply1
table tagged task this time timeprecision timeunit tran tranif0 tranif1 tri tri1 tri0 triand trior trireg throughout type typedef
union unique unsigned use uwire
var vectored virtual void
wait wait_order wand weak0 weak1 while wildcard with within wire wor
xnor xor
/C2"System"
.
** # ##
** 'b 'B 'o 'O 'd 'D 'h 'H 'sb 'sB 'so 'sO 'sd 'sD 'sh 'sH 'Sb 'SB 'So 'SO 'Sd 'SD 'Sh 'SH
** $
$assertkill $assertoff $asserton $async$and$array $async$and$plane $async$nand$array $async$nand$plane $async$nor$array $async$nor$plane $async$or$array $async$or$plane
$bits $bitstoreal $bitstoshortreal
$cast $countdrivers $countones $coverage_control $coverage_merge $coverage_save
$dimensions $display $displayb $displayh $displayo
$dist_chi_square $dist_erlang $dist_exponential $dist_normal $dist_poisson $dist_t $dist_uniform $dumpall $dumpfile $dumpflush $dumplimit $dumpoff $dumpon $dumpportsall $dumpportsflush $dumpportslimit $dumpportsoff $dumpportson $dumpvars
$error $exit
$fatal $fell $fclose $fdisplayh $fdisplay $fdisplayf $fdisplayb $ferror $fflush $fgetc $fgets $finish $fmonitorb $fmonitor $fmonitorf $fmonitorh $fopen $fread $fscanf $fseek $fsscanf $fstrobe $fstrobebb $fstrobef $fstrobeh $ftel $fullskew $fwriteb $fwritef $fwriteh $fwrite
$getpattern $get_coverage
$high $history $hold
$increment $info $incsave $input $isunbounded $isunknown $itor
$key
$left $list $load_coverage_db $log $low
$monitorb $monitorh $monitoroff $monitoron $monitor $monitorb $monitorh $monitoro $monitoroff $monitoron
$nochange $nokey $nolog
$onehot $onehot0
$past $period $printtimescale
$q_add $q_exam $q_full $q_initialize $q_remove
$random $readmemb $readmemh $realtime $realtobits $recovery $recrem $removal $reset_count $reset $reset_value $restart $rewind $right $root $rose $rtoi
$sampled $save $scale $scope $sdf_annotate $setup $setuphold $set_coverage_db_name $sformat $showscopes $showvariables $showvars $shortrealtobits $signed $size $skew $sreadmemb $sreadmemh $stable $stime $stop $strobeb $strobe $strobeh $strobeo $swriteb $swriteh $swriteo $swrite $sync$and$array $sync$and$plane $sync$nand$array $sync$nand$plane $sync$nor$array $sync$nor$plane $sync$or$array $sync$or$plane
$test$plusargs $time $timeformat $timeskew $typename
$ungetc $unit $unsigned $urandom $urandom_range
$value$plusargs
$warning $width $writeb $writeh $write $writememb $writememh $writeo
/C3"Operators"
!
%
&
*
+
,
-
// /
:
;
<
=
>
?
@
^
{
|
}
(
)
~
/C4"Directives"
** `
`accelerate `autoexepand_vectornets
`begin_keywords
`cast `celldefine
`default_nettype `define `default_decay_time `default_trireg_strength `delay_mode_distributed `delay_mode_path `delay_mode_unit `delay_mode_zero
`else `elsif `endcelldefine `endif `endprotect `endprotected `end_keywords `expand_vectornets
`file
`ifdef `ifndef `include
`line
`noaccelerate `noexpand_vectornets `noremove_gatenames `noremove_netnames `nounconnected_drive
`protect `protected
`remove_gatenames `remove_netnames `resetall
`timescale
`unconnected_drive `undef `uselib
/C5"DelaysParametersEscaped"
[
]
** \
发表于 2008-8-29 16:10:32 | 显示全部楼层
好的,下辖来看看啊,谢谢阿……
发表于 2009-5-22 13:32:42 | 显示全部楼层
各位大虾,说一下怎么用的嘛!
发表于 2009-11-11 10:16:57 | 显示全部楼层
拷贝到Ultraedit安装目录下面的wordfile里面就可以了.
发表于 2009-11-13 00:25:40 | 显示全部楼层
感谢啊,人才啊
发表于 2009-11-13 11:53:48 | 显示全部楼层
thx for free share
发表于 2009-11-17 22:16:27 | 显示全部楼层
thanks~~
发表于 2010-4-18 17:49:33 | 显示全部楼层
好东西~~~~~~
发表于 2010-4-21 23:11:02 | 显示全部楼层
有人试用过吗? 有些关键字不能高亮,不知道怎么回事
发表于 2010-4-26 08:56:50 | 显示全部楼层
有些关键字不能高亮
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 21:21 , Processed in 0.033601 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表