在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 47107|回复: 296

SystemVerilog Assertions经典实例

[复制链接]
发表于 2008-6-17 09:30:16 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
A Practical Guide for Systemverilog Assertions书上的例子,对于理解SVA的验证方法很有帮助
发表于 2008-6-26 13:05:51 | 显示全部楼层
good,looking it
发表于 2008-6-26 19:17:30 | 显示全部楼层
thanks very much
发表于 2008-7-8 21:02:53 | 显示全部楼层
好东西
发表于 2008-7-10 23:58:23 | 显示全部楼层

ic新人

回帖是本分,看帖必须要回,何况还要下东西
发表于 2008-7-12 20:20:48 | 显示全部楼层
kan kan a!
发表于 2008-7-15 14:28:40 | 显示全部楼层
学习了啊 学习了 谢谢
发表于 2008-7-24 10:18:33 | 显示全部楼层
谢谢分享
发表于 2008-7-28 12:02:05 | 显示全部楼层
Great! Thanks for your sharing!
发表于 2008-7-30 13:06:32 | 显示全部楼层
thanks for sharing
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 14:46 , Processed in 0.035446 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表