在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: songchao0621

关于Modelsim后仿真

[复制链接]
发表于 2009-4-18 09:56:40 | 显示全部楼层
....
发表于 2009-4-21 22:43:24 | 显示全部楼层
看看了
谢谢
发表于 2009-4-22 10:08:47 | 显示全部楼层
做fpga仿真前。提前去xilinx/fpga上面下载相应的device simulation model,编译到modelsim就好了。我都是这样做的。
发表于 2009-7-7 17:17:53 | 显示全部楼层
谢谢,看下
发表于 2009-7-8 13:52:11 | 显示全部楼层

能不能讲的细一点啊

能不能讲的细一点啊,谢谢。
发表于 2009-7-8 14:57:49 | 显示全部楼层
发表于 2009-7-8 19:58:34 | 显示全部楼层
多谢LZ分享,后仿真可重要了
发表于 2009-7-8 23:16:19 | 显示全部楼层
用的不多,学习下!谢谢!
发表于 2009-7-10 15:20:03 | 显示全部楼层
请教下大侠,我再做后仿真的时候也是按照例子加了源文件,testbench文件,.sdo文件和.vho文件,也把片子的源文件加进来了,
也每出错,但仿真完后还是看不到时序延迟,显示跟功能仿真一样的结果啊
发表于 2009-7-10 23:14:56 | 显示全部楼层
edacn上此类资料不少哦
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 20:24 , Processed in 0.031047 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表