在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: xueyw

verilog中如何读取文本文件中的数据?

[复制链接]
发表于 2008-10-14 08:42:33 | 显示全部楼层
是读.data文件吗
发表于 2009-1-21 09:34:21 | 显示全部楼层
very good
发表于 2010-10-12 21:33:19 | 显示全部楼层
readmemb或readmemh
发表于 2010-10-12 23:34:47 | 显示全部楼层
可以使用perl先将十进制转为二进制,再进行$readmemb
发表于 2010-10-15 09:02:16 | 显示全部楼层
同问 !不明白
发表于 2010-10-15 09:26:30 | 显示全部楼层
推荐先将文本文件中的数据转换成二进制或者十六进制的形式,然后用Verilog中的系统调用$readmemb或者$readmemh来读取
发表于 2010-10-15 13:19:10 | 显示全部楼层
文本文件中的数据是什么格式的?
发表于 2010-10-15 21:27:33 | 显示全部楼层
综合用readmemb()
仿真用fscanf
发表于 2010-10-16 14:39:44 | 显示全部楼层
大家都说verilog HDL语言比VHDL语言难学,为什么我反而觉得VHDL容易学呢?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 00:39 , Processed in 0.024920 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表