litterstrong的个人空间 https://blog.eetop.cn/319186 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料
统计信息

已有 2122 人来访过

    现在还没有相册

    现在还没有记录

  • 自我介绍元亨利贞,生长收藏
  • 居住地山东省 青岛市

查看全部个人资料

    现在还没有动态

【转】生成随机数rand函数的用法详解 2016-06-13
本篇文章是对生成随机数 rand 函数的用法进行了详细的分析介绍,需要的朋友参考下 函数 rand () 是真正的随机数生成器 ,而 srand( ...
(1372)次阅读|(0)个评论
【转】关于$test$plusargs和$value$plusargs的小结 2015-11-20
Abtract     $test$plusargs和$value$plusargs 作为进行 Verilog 和 SystemVerilog 仿真运行时调用的系统函数,可以在仿真命令直接 ...
(7117)次阅读|(0)个评论
【转】如何在SystemVerilog中手动设置随机化seed 2015-11-20
可以产生受约束的随机激励是sv验证语言中最主要的feature,这里有一个常常会被验证工程师忽视的问题,就是随机化种子(seed)。 我们知道,用 verilog 里 ...
(3925)次阅读|(0)个评论
Error: Can't recognize silicon ID for device 1 2014-10-31
  遇到这种问题排查步骤: Quartus中所选中的FPGA是否与电路板中的FPGA相符合 检查电路是否存在问题。包 ...
(9189)次阅读|(0)个评论
【转】批量上传文件及文件夹到CVS 2014-06-11
在cygwin下运行命令: Recursively Add Items to CVS 1. Open Cygwin 2. Add the parent folder if necessary cv ...
(1236)次阅读|(0)个评论
【转】批量上传文件及文件夹到CVS 2014-06-11
在cygwin下运行命令: Recursively Add Items to CVS 1. Open Cygwin 2. Add the parent folder if necessary cvs add folder 3. Add subdirectories find ...
(2829)次阅读|(0)个评论

查看更多

你需要登录后才可以留言 登录 | 注册


freeren_liming 2013-11-2 21:49
你好,本人转了你的一篇博文: 单口RAM、伪双口RAM、双口RAM 并结合自己的工作经历写了在IC中的界定,希望可以互相关注 相互交流
查看全部
最近访客
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-28 23:00 , Processed in 0.017105 second(s), 12 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部