在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4563|回复: 10

[求助] 串行测试向量仿真chain test mismatch

[复制链接]
发表于 2019-2-18 17:05:15 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
DFT大佬相助,串行测试向量仿真chain test mismatch一般会是什么原因?
发表于 2019-2-22 09:46:07 | 显示全部楼层
SynopsysSolvNet & Mentor Training 都有全面的debug guideline可以参考.
大部分情况下是Simulation环境问题. 先理清后再查ATPG pattern是不是有问题.
比如,
1. Simulatednetlist vs ATPG netlist mismatch?
2. Simulationenvironment setup issue? (post-simulation with SDF, etc)
3. Core-level/chip-levelscan pattern behavior (DC/AC or chain test shift/capture phase) correct?
   3.1 Core-levelscan signals including scan mode, scan enable, scan reset, scan clocks, scaninputs, scan outputs, PLL clocks & OCC launch/capture control bits ... correct? (No “X”, matching ATPG constraints)
    3.2
Chip-levelscan signals correct? I/O pads, PLL clocks, scan mode configure… (needs forceinternal pins in simulation environment)




都找不到原因.
Dump waveform debug. Parallel run simulation and set NSHIFT=2 or 3, etc 减少 shift cycles 可以提高效率.
最好有pass/fail waveform 对比 (for example, pre-sim vs post-sim with SDF).
要对哪些registers进行对比?
可以把整条scan chain registers都拉出来写一个testbench monitor, 在shift完之后的时间点, capture clock敲之前(chain test 没有capture clock.) 与 ATPG care bit 不一样就是有问题的register. Display time and register hierarchy.
了解这种方法, 开发简单的automatic utility, chain test fail or logic test fail,一般能很快找到问题.






发表于 2019-2-22 13:14:12 | 显示全部楼层
Synopsys SolvNet, Mentor training 都有全面的 simulation fail debug guideline, 可以参考.
需确认Simulation 环境与 ATPG constraints 一致. Netlist, PLL verilog model, ATPG pattern, ATPG constraints, etc
通过Dump waveform or checker 确认, chip-level/core-level scan signals, 在shift/capture phase 是符合预期的, 跟 ATPG test procedure 一样. 比如说, Scan mode, scan enable, scan reset, scan clocks, scan inputs, scan outputs, PLL clocks, OCC control bits. 即是从chip-level I/O pad 能正确地送值给 internal scan chains, 能够从chip-level output I/O pad 观察到.
以上都没有问题, 如果是post-simulation with SDF, 再确认simulation (some VCS options, etc)环境是不是正确.

以对Design, ATPG pattern的了解都没有办法, 只有dump waveform实实在在找问题.
比如说由于timing violation(SDF), 除 review STA, simulation report violated path/register外, 这就是必须要做的了.

Scan chain length 比较长, debug 费时的问题怎么解决?
1. Parallel run simulation, NSHIFT = 2, etc (如果说是post-simulation fail, NSHIFT比pre-sim pass的值加1)
2. 在 load procedure 完, 去观察 scan chain care bit value 是不是与ATPG or pre-sim pass value 一样?
3. 如果不一样, 就找到了有问题的register. (只敲一个shift clock pulse)

无论是pre-sim/post-sim, chain test or logic test fail 都有效.
如何去观察整条scan chain registers? 可以automatic写一个monitor test-bench, 在某一个时间点display register value. 对比pass/fail两个结果就知道哪个register是错的.
如果是pre-sim, 没有可以对比的, 那要想办法从ATPG pattern DAT 看怎么提取了.
发表于 2019-2-22 13:24:23 | 显示全部楼层
回复 3# DFTer


   受教,谢谢
 楼主| 发表于 2019-2-22 19:02:34 | 显示全部楼层
回复 3# DFTer


    谢谢!
 楼主| 发表于 2019-2-22 19:29:52 | 显示全部楼层
回复 3# DFTer


    请问您有
Mentor Training的文档吗?方便的话给一份给我,谢谢!
 楼主| 发表于 2019-2-23 16:41:43 | 显示全部楼层
回复 6# LCS527


    mismatch.jpg
不知道为什么,就是有两条链的数据提前出来了,导致chain test fail,请大家指教,谢谢!
发表于 2019-3-5 13:59:51 | 显示全部楼层
产生并行pattern试试,是不是仿真没有加延迟?
 楼主| 发表于 2019-3-6 10:45:26 | 显示全部楼层
回复 8# xiaonaldo


    谢谢回复,仿真时加了.sdf文件,并行的也是有mismatch,请问一下仿真一定要加.sdf文件吗?因为我也有在跑没有时序的,仿真时+nospeify。
发表于 2019-6-1 09:33:12 | 显示全部楼层
是pre sim还是post sim呢?presim的话,大部分是control signal每约束正确,对比GUI和波形的值,看下mismatch在哪里。post-sim的话,对比pre-sim的post-sim的波形,关键就是追到发生mismatch的源头
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-24 15:35 , Processed in 0.043657 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表