在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 34259|回复: 78

[讨论] 免费EDA工具,免安装,仅限学习目的使用

[复制链接]
发表于 2018-10-3 22:07:11 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

EDA虚拟机安装使用

采用最新虚拟软件VMware12Pro安装的EDA虚拟机系统,操作系统使用红帽企业版。集成了Synopsys、Cadence、Mentor等主流软件,以及一个ADS数模混合仿真软件。内置台积电90ns和中芯国际180ns的前端和后端所有的工艺库。

VMware后的版本只支持64位,不再提供32位版本。本虚拟系统安装的是Red Hat Enterprise Linux 6.7,即RHEL 6.7。系统未设普通用户和root密码,需要的自行设置。

Synopsys有以下软件:

  • Formality2016:形式验证

  • Hspice2016

  • ICC2016:布局布线

  • Laker2015

  • PrimeTime2015:形式验证

  • StarRC2015

  • Design compiler

  • Synplify2015:Design Compiler逻辑综合工具,现已更名,命令几乎不变。

  • VCS2014由于VCS2016仿sv时会出现runtime问题,故安装此稳定版本。

  • Verdi2015


Cadence有以下软件:

  • Assura4

  • IC617virtuoso设计工具,目前最新版本

  • Incisive14:NC仿真工具,更名为Incisive,命令完全不变。

  • Innovus15:encounter后端布局布线工具,已更名,命令可用innovus,也可用encouter启动

  • MMSIM15:进行spect


Mentor的工具:

  • Calibre2015:作LVS

  • Tessent2013DFT工作平台。

  • ...


关注微信公众号“wonderasic

回复 “提取码”,即可获取网盘提取码。

 楼主| 发表于 2018-10-4 20:17:33 | 显示全部楼层
1.png



2.png



3.png



4.png
发表于 2018-10-5 06:55:55 | 显示全部楼层
链接呢?楼主
 楼主| 发表于 2018-10-5 07:29:05 | 显示全部楼层
回复 3# agdhun


   https://pan.baidu.com/s/13Py9gT09jY4GUNWNmVlWyg
发表于 2018-10-5 18:50:33 | 显示全部楼层
加了,验证过,是真的。帮顶!

不是那种用虚拟机来赚钱的!
 楼主| 发表于 2018-10-5 20:05:01 | 显示全部楼层
回复 5# andyfan


   新手现成的EDA环境,仅限学习目的。分享会更有价值
发表于 2018-10-6 10:04:10 | 显示全部楼层
楼主,能不能给个vcs2014和verdi2015的安装包呢,感激不尽
发表于 2018-10-8 02:01:02 | 显示全部楼层
王平哥哥你好嘻嘻嘻
发表于 2018-10-9 19:26:03 | 显示全部楼层
感谢,谢谢
发表于 2018-10-9 23:10:43 | 显示全部楼层
已安装,软件很全面,不知道是否有spyglass的工具?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 08:22 , Processed in 0.039587 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表