在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 36217|回复: 169

[资料] systemverilog uvm 1.2 workshop user guide & lab guide

[复制链接]
发表于 2018-7-20 04:05:11 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 changli74 于 2018-7-20 12:40 编辑

In this hands-on workshop, you will learn how to develop a UVM 1.1 and UVM 1.2 Systemverilog testbench environment which enables efficient testcase development. Within the UVM environment, you will develop stimulus sequencer, driver, monitor, scoreboard and functional coverage. Once the UVM environment has been created, you will learn how to easily manage and modify the environment for individual testcases.
You will learn how to use the configuration database to control both, component behavior and stimulus generation. You will use the power of Object-oriented programming to easily replace component and transaction objects with their derived-class variations. You will learn how to use callbacks to increase the controllability and observability of the testbench. You will also learn how to model registers in UVM that simplify the configuring and testing the registers in your device. Untitled.png



Synopsys_uvm1.2_lab_guide.pdf (12.53 MB, 下载次数: 1503 )



synopsys sv uvm1.2.part1.rar (14 MB, 下载次数: 1466 )



synopsys sv uvm1.2.part3.rar (11.01 MB, 下载次数: 1313 )




synopsys sv uvm1.2.part2.rar

14 MB, 下载次数: 1304 , 下载积分: 资产 -5 信元, 下载支出 5 信元

发表于 2018-7-20 09:36:53 | 显示全部楼层
Where is it?
发表于 2018-7-20 21:14:04 | 显示全部楼层




  1. :victory::victory::victory:


复制代码
发表于 2018-7-21 12:42:19 | 显示全部楼层
thanks.goodtext
发表于 2018-7-22 23:10:54 | 显示全部楼层
多谢分享
发表于 2018-7-23 06:09:23 | 显示全部楼层
very nice, thank you
发表于 2018-7-23 10:03:11 | 显示全部楼层
thakksjlf
发表于 2018-7-23 11:04:22 | 显示全部楼层
thx ,good ;123
发表于 2018-7-23 20:45:46 | 显示全部楼层
终于找到了
发表于 2018-7-24 09:17:20 | 显示全部楼层
非常感谢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 10:34 , Processed in 0.028358 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表