在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1947|回复: 1

[求助] 请教:verdi怎么加载VHDL库altera_mf

[复制链接]
发表于 2018-7-18 09:39:11 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
原始的环境是用questasim编译仿真的,用Vhdl写的代码。现在想用verdi加载RTL看代码。
把文件加载进来的时候,遇到了Error,退出。。。
-- Warn:
core_ip_x.vhd(45): Failed to open the library altera_mf because this library does not exist.
-- Error:
core_ip_x.vhd(46): Unknown identifier: altera_mf_components.
-- VHDL Compiler exiting by error.
Total
1 error(s),   4 warning(s)


打开原代码文件,里面的描述是这样的:
。。。
LIBRARY altera_mf;
USE altera_mf.altera_mf_components.all;
LIBRARY altera_lnsim;
USE altera_lnsim.altera_lnsim_components.altera_syncram;
LIBRARY lpm;
USE lpm.lpm_components.all;

。。。

在quarsta的安装路径倒是能找到文件quartus/eda/sim_lib/altera_mf.vhd
直接用verdi加载altera_mf.vhd也能编译通过,
请问verdi怎么处理这种LIBRARY的呢?对VHDL不太熟悉,谢谢
发表于 2018-8-15 14:14:32 | 显示全部楼层
帮顶!!!!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 13:11 , Processed in 0.026674 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表