在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1876|回复: 5

[求助] modelsim不加sdf文件时门级延迟是怎么来的?

[复制链接]
发表于 2018-7-3 10:06:44 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我写了一个verilog代码,功能是在输入下降沿到达时,产生一个脉冲,由于需要使用延时模块,以下是综合后的网表,在没有使用sdf文件时,也存在延时,其中V5_DL600A延时1ns,这个是modelsim自带的吗?
  module delay_20(in,out);
    input in;
    output out;

    wire L1,L2,L3,L4;

    V5_DL600A U1(.A(in),.Z(L1)); //延时模块
    V5_DL600A U1(.A(L1),.Z(L2));
    V5_DL600A U1(.A(L2),.Z(L3));
    V5_DL600A U1(.A(L3),.Z(L4));
  endmodule

  module PULDOWN_V3(IN,OUT,RESET,OUT_N);
    input IN,RESET;
    output OUT,OUT_N;
    wire INL,n4;

   delay_20 DL(.in(IN),.out(INL));
   V5_CKINDOA U4(.CK(OUT_N),.CKB(OUT));
   V5_CKND2D0A(.A1(n4),.A2(INL),.Z(OUT_N));
   V5_NR2DOA U6(.A1(RESET),.A2(IN),.Z(n4));
  endmodule
 楼主| 发表于 2018-7-3 10:56:03 | 显示全部楼层
我刚才查了,不加sdf文件时,只有器件的延迟,这个器件的延迟是在哪里看到的?是库里带的.v文件吗?我看到有很多·protect->endprotect , specify->endspecify,和这个有关吗?
发表于 2018-7-4 14:29:37 | 显示全部楼层
这些模块的.v文件里面没有明显的延时语句吗?
 楼主| 发表于 2018-7-19 11:17:00 | 显示全部楼层
回复 3# gaurson


    没有,延时模块只有buf(z,A);
发表于 2018-7-19 14:44:51 | 显示全部楼层
你没把 V5_DL600A 的 simulation model 贴出来啊
发表于 2018-8-16 15:34:49 | 显示全部楼层
回复 1# xinranhosue


    调用的对应模块都是有延迟的,V5_CKND2D0A,V5_CKND2D0A都是有的。
不可能没有,您可能没有找到,当然也有可能这些模块做完之后没有加延迟,但是加了buf,所以使用的是buf的延迟!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-18 14:51 , Processed in 0.022093 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表