在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2234|回复: 4

[求助] 新手求教vivado使用的一个问题

[复制链接]
发表于 2018-3-11 21:39:03 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位大神,请问在Vivado中,综合或者实现时,有一个number of jobs的选项是什么意思?
发表于 2018-3-12 10:05:05 | 显示全部楼层
就是申明并行工作的数量,一般受限于CPU的核数。
 楼主| 发表于 2018-3-12 20:49:50 | 显示全部楼层
回复 2# eetopuser

是指同时运行的Vivado个数吗?还是指同一个Vivado里运行的工程个数?
发表于 2018-3-14 15:24:10 | 显示全部楼层
回复 3# koukobe

都不是!是指综合和实现时,并行计算的任务的个数。
 楼主| 发表于 2018-3-15 21:38:55 | 显示全部楼层
本帖最后由 koukobe 于 2018-3-25 09:17 编辑

回复 4# eetopuser
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 15:57 , Processed in 0.025823 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表