|
楼主 |
发表于 2018-2-18 13:30:31
|
显示全部楼层
回复 7# haoyz
谢谢,那么在标准单元库中.V文件给出的模块没有延时,如`timescale 1ns/10ps
`celldefine
module AND2CLKHD2X (Z, A, B);
input A ;
input B ;
output Z ;
and (Z, A, B);
specify
// path delays
(A *> Z) = (0, 0);
(B *> Z) = (0, 0);
endspecify
endmodule
`endcelldefine
可不可以给延时赋值?就是用lib中的延时,可是lib中的延时6*6,有很多的;
还有就是关键lib这个库到底怎么用,能用来仿真延时吗;
就是不能直接编译,不然一切问题都解决了 |
|