在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3836|回复: 0

[解决] vivado仿真出错: 'compile' step failed with error(s) while executing

[复制链接]
发表于 2017-9-6 16:44:04 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
问题描述:
当我写完设计文件和testbench文件之后,综合与实现都能通过,但进行仿真时,报如下图1的错误:
C:/Users/Noah/AppData/Local/YNote/data/qq4E6D705CECBA08323151B0FBAEF2709E/48b183650330406dac74083a9434e768/clipboard.png


图1

[USF-XSim 62] 'compile' step failed with error(s) while executing 'D:/0verilog_Study/DVB-T2_prj/myself_qianduan/DVB-T2/DVB-T2.sim/sim_1/behav/compile.bat' script. Please check that the file has the correct 'read/write/execute' permissions and the Tcl console output for any other possible errors or warnings.
在执行的时候,usf-xsim 62“编译”步骤失败了(s):/0Verilog学习/dvb-t 2 prj/我的钱/dvb-t/dvb-t 2。卡/卡1 / behav /编译。蝙蝠的脚本。请检查该文件是否有正确的“读/写/执行”权限和Tcl conso

解决办法:
出错的主要愿意是我的testbench内容出错啦,综合时并不报错,但是仿真不了!所以就是要仔细检查这几的仿真文件testbench内容是否有错,是否符合语法规范等等错误!错误如图2所示:
C:/Users/Noah/AppData/Local/YNote/data/qq4E6D705CECBA08323151B0FBAEF2709E/efa1713042874981a261474c824ef1f8/clipboard.png


图2

由于在testbench文件中端口的类型定义是不能写到模块名括号里面的,应该写在模块名括号的外边。
应该改为图3所示:
C:/Users/Noah/AppData/Local/YNote/data/qq4E6D705CECBA08323151B0FBAEF2709E/506b8ed7c48e465cb57af847c424a5dc/clipboard.png


图3
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 10:25 , Processed in 0.014712 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表