在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2601|回复: 2

[求助] viviado自带仿真器调用出现如下错误

[复制链接]
发表于 2017-8-26 14:35:09 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
[USF-XSim 62] 'compile' step failed with error(s). Please check the Tcl console output or 'E:/ADC_DAC_TEST_948/signal_process/signal_cic_fir/signal_cic_fir.sim/sim_1/behav/xvlog.log' file for more information.
[Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.

请问是什么原因
发表于 2017-8-27 16:14:21 | 显示全部楼层
仿真除了错误,
打开这个文件看一下就知道了'E:/ADC_DAC_TEST_948/signal_process/signal_cic_fir/signal_cic_fir.sim/sim_1/behav/xvlog.log'
 楼主| 发表于 2017-8-31 16:42:51 | 显示全部楼层
谢谢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-28 20:31 , Processed in 0.016963 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表