在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1606|回复: 2

[求助] FPGA管脚预综合的问题

[复制链接]
发表于 2017-7-31 16:17:26 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
ise在implement design时出现
A clock IOB / BUFGCTRL clock component pair have been found
   that are not placed at an optimal clock IOB / BUFGCTRL site pair. The clock
   IOB component <rclk> is placed at site <A11>. The corresponding BUFGCTRL
   component <rclk_BUFGP/BUFG> is placed at site <BUFGCTRL_X0Y0>. The clock IO
   can use the fast path between the IOB and the Clock Buffer if the IOB is
   placed on a Clock Capable IOB site that has dedicated fast path to BUFGCTRL
   sites in its half of the device (TOP or BOTTOM). You may want to analyze why
   this problem exists and correct it. If this sub optimal condition is
   acceptable for this design, you may use the CLOCK_DEDICATED_ROUTE constraint
   in the .ucf file to demote this message to a WARNING and allow your design to
   continue. However, the use of this override is highly discouraged as it may
   lead to very poor timing results. It is recommended that this error condition
ERRORlace:1398 - A clock IOB / BUFGCTRL clock component pair have been found
   that are not placed at an optimal clock IOB / BUFGCTRL site pair. The clock
   IOB component <wclk> is placed at site <B11>. The corresponding BUFGCTRL
   component <wclk_BUFGP/BUFG> is placed at site <BUFGCTRL_X0Y1>. The clock IO
   can use the fast path between the IOB and the Clock Buffer if the IOB is
   placed on a Clock Capable IOB site that has dedicated fast path to BUFGCTRL
   sites in its half of the device (TOP or BOTTOM). You may want to analyze why
   this problem exists and correct it. If this sub optimal condition is
   acceptable for this design, you may use the CLOCK_DEDICATED_ROUTE constraint
   in the .ucf file to demote this message to a WARNING and allow your design to
   continue. However, the use of this override is highly discouraged as it may
   lead to very poor timing results. It is recommended that this error condition
   be corrected in the design. A list of all the COMP.PINs used in this clock
   placement rule is listed below. These examples can be used directly in the
   .ucf file to override this clock rule.
   < NET "wclk" CLOCK_DEDICATED_ROUTE = FALSE; >
这样的error,请教大神如何解决及为什么会出现这样的错误
发表于 2017-8-1 12:26:05 | 显示全部楼层
时钟信号输入应接特定的管脚而不是普通IO
 楼主| 发表于 2017-8-1 12:59:14 | 显示全部楼层
回复 2# huster

好的,谢谢,那么rst信号有要求么
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 02:16 , Processed in 0.018403 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表