在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1519|回复: 2

[求助] verilog(or SV)在run simulation时,可以访问到系统的物理内存里面的数据吗?

[复制链接]
发表于 2017-7-28 12:40:33 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
Hi:   请教一个问题:
   verilog(or SV)在run simulation时,有没有任何的方法,让我的test bench 可以访问到系统的物理内存(计算机内存条里面的数据)里面的数据?

    谢谢
发表于 2017-7-28 13:24:36 | 显示全部楼层
用PLI?一般Verilog的仿真器都支持吧。
 楼主| 发表于 2017-7-28 14:07:51 | 显示全部楼层
本帖最后由 asic_service 于 2017-7-28 14:17 编辑

谢谢。
有没有PLI访问一个指定物理内存的例子。如果我要读写系统地址0xD000000,怎么写?这个地址0xD0000000不是simulator 运行时向系统申请的内存空间。

谢谢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 08:08 , Processed in 0.018109 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表