在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1725|回复: 1

[求助] Quartus 16.1 Prime编译问题

[复制链接]
发表于 2017-4-3 23:31:11 | 显示全部楼层 |阅读模式
10资产
Quartus 16.1无法编译出计时器?




  1. ////


  2. Project Name: Test01;
  3. Function: Just test my FPGA board
  4. Version: 0.1
  5. Editor: Vincent Li
  6. Date: 2017-04-03

  7. clk=50MHz

  8. ///

  9. module test01( clk, nRST, LED        );

  10. input clk,nRST;
  11. output[3:0] LED;

  12. parameter T500MS = 25'd24_999_999;


  13. reg[3:0] rLED;
  14. reg[24:0] Count;

  15. always@(posedge clk or negedge nRST)
  16. if(!nRST)
  17. Count <= 25'd0;
  18. else
  19. Count <= Count + 1'b1;


  20. always@(posedge clk or negedge nRST)
  21. if(!nRST)
  22. rLED <= 4'd1;
  23. else if(T500MS==25'd24_999_999)
  24. rLED <= {rLED[2:0],rLED[3]};

  25. assign LED = rLED;


  26. endmodule


复制代码

QQ截图20170403232713.png
QQ截图20170403232713.png
QQ截图20170403232713.png
 楼主| 发表于 2017-4-4 09:40:04 | 显示全部楼层
问题已解决, 谢谢大家参观
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 13:46 , Processed in 0.016790 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表