在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2258|回复: 3

[求助] VIVADO综合自定义代码实现双端口分布式RAM出错(ISE综合没问题)

[复制链接]
发表于 2016-12-5 12:20:08 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
Xilinx官方distributed RAM双端口RAM只支持一个端口写操作,想自己实现两个端口都支持写操作的分布式RAM distri_ram.jpg

ISE综合很快就可以通过,可以看资源占用,在VIVADO平台下,综合一直过不了,综合半个小时也没有结果,尝试(* ram_style="distributed" *),会提示一个warning,说无法用BRAM DRAM实现,后来改成(* ram_style="register" *),还是会有这样的问题,综合过不了。
vivado 综合.jpg

求助一下,有没有遇到过类似问题解决的。
发表于 2016-12-5 15:04:53 | 显示全部楼层
这是由器件特性决定的,而不是Coding Style
 楼主| 发表于 2016-12-5 15:13:15 | 显示全部楼层
回复 2# huster

您说的器件决定是说分布式RAM不能支持两个端口写?但是我看UG901里ram_style可以配置成 block 、disrtibuted、register、ultra,配置成register不可以吗?
发表于 2017-11-2 23:09:30 | 显示全部楼层
楼主最后这个问题解决了么?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 20:20 , Processed in 0.022881 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表