在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3199|回复: 1

Hoki评测Artix-7 50T开发板(三)开发上手

[复制链接]
发表于 2016-7-29 00:08:20 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 huxiaokai2005 于 2016-7-30 11:27 编辑

封面.jpg



在进行FPGA开发之前,需要安装Xilinx公司的FPGA开发软件。之前的开发软件ISE 从2013年10月起Xilinx方面就不做任何发布更新了,14.7版算是ISE的终极。另外ISE并不支持Virtex-7、Kintex-7、Artix-7、Zynq-7000及更新器件的相关开发,针对Artix-7 50T套件的FPGA开发就交给新一代开发平台Vivado Design Suite,现在最新版本是2016.2。

1.jpg



1. 首先从Xilinx官网下载Vivado Design Suite

(TAR/GZIP - 11.17 GB)

http://china.xilinx.com/support/download/index.html/content/xilinx/zh/downloadNav/vivado-design-tools.html



2. 开始安装:

▼软件支持的操作系统

2-1.jpg



▼选择版本:System Edition

2-2.jpg



▼只勾选需要的功能和器件支持

2-3.jpg



▼安装总结

2-4.jpg



▼安装进行中。。。

2-5.jpg




▼激活license,安装完成

2-6.jpg

3. 一切就绪,可以进行FPGA开发

▼打开Vivado 2016.2,新建工程

3-1.jpg



▼设置工程名,选择工程文件所在位置

3-2.jpg



▼添加引脚约束文件:7A50T_Master_XDC_PCB_Rev_A_v1_0.xdc(官方提供)

3-3.jpg



▼选择器件时选择Artix-7 50T Board会自动将器件指定到xc7a50tftg256-1,此处显示Artix-7 50T套件,是笔者提前将board_xml文件夹(官方提供)拷贝到了以下目录中:

“<Vivado_install>\Xilinx\Vivado\2016.2\data\boards\board_parts\artix7”

3-4.jpg



▼至此,新工程的框架搭建完毕

3-5.jpg



▼剩下就是按照软件流程进行开发:代码编写、综合、实现、调试

3-6.jpg

发表于 2016-7-29 12:03:30 | 显示全部楼层
竟然不支持 Window7 32位操作系统。。。。。。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 13:40 , Processed in 0.018992 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表