在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5926|回复: 9

[求助] systemverilog 中如何调用系统时间,不是仿真时间

[复制链接]
发表于 2016-3-16 18:20:20 | 显示全部楼层 |阅读模式
10资产
RT,systemverilog 中如何调用系统时间,不是仿真时间, 求大牛赐教。。。。

最佳答案

查看完整内容

sorry,之前没搞对,修正一下,供参考 initial begin int data; integer file; $system("date +%s>tmp_time.txt"); file=$fopen("tmp_time.txt","r"); $fscanf(file,"%d",data); $fclose(file); $system("rm tmp_time.txt"); $display("The time is %d",data); end
发表于 2016-3-16 18:20:21 | 显示全部楼层
本帖最后由 luyaker 于 2016-3-17 09:41 编辑

sorry,之前没搞对,修正一下,供参考
initial begin
int data;
integer file;
$system("date +%s>tmp_time.txt");
file=$fopen("tmp_time.txt","r");
$fscanf(file,"%d",data);
$fclose(file);
$system("rm tmp_time.txt");
$display("The time is %d",data);
end
发表于 2016-3-17 09:03:01 | 显示全部楼层
另外,值的含义是当前时间距离1970-01-01 00:00:00 UTC的时间差
 楼主| 发表于 2016-3-17 12:20:33 | 显示全部楼层
回复 2# luyaker
非常感谢,后来自己翻墙去谷歌了一下,差不多。。。哈哈。绿坝真是坑人不浅,日了狗了。。。那个奖励积分怎么给你?
 楼主| 发表于 2016-3-17 12:37:21 | 显示全部楼层
回复 2# luyaker


  顺便问一下,如何我要将两次的时间都写到这个文件中,怎么弄,我试了一下,如果用两次$system的话,后一次的会把前一次的给覆盖掉
发表于 2016-3-17 13:34:12 | 显示全部楼层
第二次你用两个大于号就可以了。
$system("date +%s>>tmp_time.txt");
 楼主| 发表于 2016-3-18 13:55:25 | 显示全部楼层
回复 6# luyaker
赞,我太土了,还读出来,存到另一个文件去了,也可以显示年月日时间的。$system("date +%y%m%d%H%M%S > log.txt");
发表于 2016-3-19 09:32:24 | 显示全部楼层
用dpi呀。
 楼主| 发表于 2016-3-19 09:44:43 | 显示全部楼层
回复 8# zw84611


  完全不会,verilog都是自己捣腾,c都不合格。。。。
发表于 2020-2-25 09:10:03 | 显示全部楼层
thanks
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 23:43 , Processed in 0.030924 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表