在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2559|回复: 5

DC启动遇到的问题

[复制链接]
发表于 2015-11-4 13:16:14 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
[student@Student Workspace]$ dc_shell-t
Fatal: Design Compiler is not enabled. (DCSH-1)

[student@Student Workspace]$ dv
Unable to obtain feature 'Design-Vision ' because:
Error: Can't communicate with the license server. (SEC-12)
Please contact  at student@(none), who is
your local Synopsys license administrator for Synopsys site 000.
Unable to obtain feature 'Design-Analyzer ' because:
Error: Can't communicate with the license server. (SEC-12)
Please contact  at student@(none), who is
your local Synopsys license administrator for Synopsys site 000.
Fatal: At least one of the following must be enabled : Design-Vision, Design-Analyzer. (DCSH-10)

经过百度发现有人说出错的地方是在:

这是license没有正确的启动。这是有可能是因为license制作过程有问题。  在制作时需要注意license工具里面的两个文件:一为EFA LicGen 0.4b文件加里面的licgen.dll,该文件不能是老版本的。另一文件为EFA LicGen 0.4b/packs/synopsys.src,license启动不成功很有可能是因为该文件导致生成的license不能启动。

我检查了一下license file是没问题的:

Integrity check report for license file "/usr/synopsys/license/synopsys.dat".
Report generated on 04-Nov-2015 (SCL_11.5)
---------------------------------------------------------
Checking the integrity of the license file....
Valid SSS feature found.
Licensed to mammoth//ZWT 2006
Siteid: <No Site Id>, Server Hostid: 000c29ce7c24, Issued on: N/A
License file integrity check PASSED!
---------------------------------------------------------
You may now USE this license file to start your license server.
Please don't edit or manipulate the contents of this license file.
发表于 2016-1-29 12:53:21 | 显示全部楼层
楼主,有木有解决啊,我也遇到同样的问题!!!
发表于 2016-12-26 06:56:57 | 显示全部楼层
我也遇到一样的问题,License check OK, 但是还是启动不了
发表于 2016-12-26 07:03:13 | 显示全部楼层
遇到了同样的问题
发表于 2017-7-22 17:18:38 | 显示全部楼层
楼主没有 解决你发出来干啥,你是求助吗
发表于 2019-11-7 17:15:14 | 显示全部楼层
楼主的帖子没营养啊,咋解决的??求指点啊
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 20:59 , Processed in 0.026418 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表