在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3919|回复: 2

[资料] Agilent.Testexec.SL.v5 1CD通用功能测试

[复制链接]
发表于 2015-11-1 13:35:54 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
Agilent.Testexec.SL.v5 1CD通用功能测试
德TestExec SL是一种可定制的、高度灵活的测试程序,专为多种行业电子制造功能性测试应用而开发。
这款商用测试程序具有完全定制的操作界面、用于多个仪器集成的开放式架构、灵活的测试序列、轻松

的调试工具和用于大多数制造用测试环境中的线路集成工具,为测试开发人员提供了极大的帮助。
TestExec SL 能够提高生产率,为测试自动化提供独特的优势,并且具有出色的易用性。借助最新发布

的 TestExec SL 7.1,您现在可以使用多线程功能来提高执行吞吐量并缩短空闲时间。





Agilent.VEE.Pro.v9.0 1CD(是为测试程序开发者提供的一种高效、简便易用的图形编程环境)
Agilent.VEE.Pro.v7.5.Addon 1CD (帮助文件)
Agilent—VEE (Visual Engineering Environment,可视化工程环境)是Agilent公司推出的虚拟仪器开

发平台软件(以下简称VEE),支持Windows和HP Unix工作站及SunSPARC等多种操作平台,是一种用于仪表

优化控制的图形语言。用户只需将对象(指组成实验系统的仪表和操作、运算过程)从相应的菜单中挑

选出来,然后用鼠标将代表对象的图标按流程连接起来,就可以产生程序,不必使用键盘,而程序也只

是一张数据流程图,比传统的代码方式更便于使用和理解。用户不必有丰富的编程知识,只需了解测试

的目标和顺序,然后用线把它们连接起来,程序就可完成。
VEE在仪器控制方面比较灵活,提供了GPIB,RS-232,GPIO等接口仪器的I/O控制。对Agilent仪器和其他

厂家的通用仪器提供了强大的支持,他采用图形化编程语言。传统的程序设计语言需要知道关键字并遵

循复杂的语法规则才能产生出成百上千行代码——这些代码很容易出现语法问题以及逻辑错误。相比之

下,图形编程工具有效地利用了当今图形用户接口的特性。你只需要用鼠标选择仪器函数作为对象,描

述测试步骤和对象之间的关系,建立初始条件就可创建直观形象的程序。VEE提供了数据流和程序流显示

,使程序调试非常方便。运行结束后,环境会自动以图形方式显示测量结果。VEE图形编程方式能够节省

80%以上的程序开发时间,其运行速度却几乎不受影响,体现出了极高的效率。


+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
专业行业软件,值得信赖!
为您提供完整版好用软件!竭诚为您服务!

电 话TEL:18980583122     客 服 QQ:1140988741  


邮件(Email):buysoftware@qq.com
             hgrjw@qq.com

专业决定品质
长期为用户提供各行业软件,欢迎咨询。
+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ 

Agilent.Hfss.v5.6-ISO 1CD(专业 3D 高频系统全波电磁场模拟软件)

Agilent.89600.Vector.Signal.Analyzer.v8.0-ISO 1CD(频谱分析)
Agilent.89600.Vector.Signal.Analyzer.v12.00-ISO 1CD(频谱分析)

Agilent.Electromagnetic.Professional(EMPro).2013.07.Win32_64 1DVD(3D电磁场设计平台)

Agilent.Electromagnetic Professional(EMPro).2010.07 Linux32_64-ISO 1DVD

Agilent RF Design Environment(RFDE) 2008 linux 1CD

Agilent.Antenna.Modeling.Design.System(AMDS).v2007.06 1CD(电子仿真平台)

Agilent.Advanced.Design.System(ADS).v2014.01.Win32 & Win64-ISO 1DVD(电子设计自动化软件)

Agilent.Advanced.Design.System(ADS).v2014.01.Linux32 & Linux64-ISO 1DVD  

Agilent ADS 2005A 快速入门中文教程

Agilent ADS 中文视频教程(台湾)

Agilent ADS详尽教程

Agilent ADS中文教程

Ommic ED02AH Libary v2.6 for ADS 2002 1CD


Agilent EMDS 2006B 1CD(电磁设计系统)

Agilent.GeneSpring.GX.v11.5.Win32_64 2CD

Agilent.GeneSpring.GX.v11.5.Linux32_64 2CD

Agilent.GeneSpring.GX.v11.5.MacOSX-ISO 1CD

Agilent.Genesys.v2014.04.Win64 1DVD

Agilent Genesys 2012.01 Win32_64 1DVD(射频EDA软件)

Agilent.Genesys.v2010.05.SP1.Update.Only 1CD

Agilent.Genomic.Workbench.v5.0.14 1CD

Agilent.Genomic.Workbench.x64.v5.0.14 1CD

Agilent.Genomic.Workbench.Linux.v5.0.14 1CD

Agilent.Genomic.Workbench.MacOSX.v5.0.14 1CD

Agilent GoldenGate RFIC Simulation Software 2013.10 Linux64 1DVD RFIC设计
Agilent GoldenGate软件是一个用于集成式RFIC设计的先进仿真和分析解决方案。其独特的仿真算法经

过优化,可应对目前复杂的RFIC设计难题,并可在整个收发器设计完成之前对其特性进行完整地仿真分

析。为了确保设备的可制造性,并减少重复设计次数,GoldenGate充分利用频域仿真能力来执行重要的

仿真分析,例如统计良率仿真,进行频域仿真比传统的时域仿真速度快许多倍。




Agilent GoldenGate v4.4.9 Linux 1DVD(RTL验证标准)
GoldenGate 是一款是最可信赖的仿真、验证和分析解决方案,非常适用于集成射频电路设计。其独特的

仿真算法可以在完成整个接收机设计之前进行全方位表征。频域与时域技术可用于精确地验证最复杂的

RFIC/无线设计性能。为了确保器件适合制造,并减少重复设计次数,GoldenGate 将对复杂验证方案自

动执行仿真、控制和分析。GoldenGate可完全集成于 Cadence 模拟设计环境(ADE)。




Agilent Technologies SystemVue  2013.10 Win32 1DVD多语言电子系统
SystemVue的是电子系统级(ESL)设计,使系统架构师和算法开发创新下一代无线和航空/国防通信系统

的物理层(PHY)的EDA环境。SystemVue的通信建筑师的核心是环境,与必要的模拟器和库。它包括许多

功能,未发现其他ESL工具,或只是作为附加费用选项。SystemVue的是电子系统级(ESL)设计的一个集

中的电子设计自动化(EDA)环境。它使系统架构师和算法开发创新的物理层(PHY)的无线和航空/国防

通信系统,射频,dspFPGA / asic的实施者,并提供独特的价值。 SystemVue的ESL设计和信号处理实

现的一个专用平台,取代了通用的数字,模拟和数学环境。 SystemVue的讲:“射频”,减少了一半PHY

的开发和验证时间,并连接到您的主流EDA流程。



Agilent.IC-Cap.v2009.Win32 & Win64-ISO 1CD(集成电路表征和分析程序)
Agilent.IC-Cap.v2009.Linux32-ISO 1CD
集成电路表征和分析程序(IC-CAP)是进行直流和射频半导体器件建模的工业标准。IC-CAP 能够提取高

速/数字、模拟和功率射频应用软件中所使用的精确而紧凑的模型。当前,大多数领先的半导体制造商和

集成器件制造商(IDM)都是采用 IC-CAP对芯片 CMOS、Bipolar、混合砷化镓(GaAs)、氮化镓(GaN)

以及其他器件技术进行建模。IC-CAP 是一种最先进的可定制建模软件,并包括测量、仿真、优化和统计

分析工具。




Simetrix Simplis v5.50 1CD(完全解密)+Simetrix Simplis manuals (用户手册)

simetrix和simplis是集成在一个软件里的两个仿真引擎。simetrix本质就是spice基础的仿真软件,可

精确仿真舜态。。而SIMPLIS对非线性器件采用分段线性建模,将一个完整的系统定义为线性电路拓扑的

循环序列,以描述开关电源系统中半导体器件的开关特性。因此可以取得很高的速度,同样硬件配置下

,其仿真速度比SPICE类软件快10到50倍,这一特点是SIMPLIS在工程应用中尤其是电源行业里与其他仿

真软件竞争的优势所在。
简单的说,simetrix比较精准,simplis非常快。
发表于 2016-2-14 10:22:22 | 显示全部楼层
为测试程序开发者提供的一种高效简便易用的图形编程环境。
发表于 2020-6-16 16:25:50 | 显示全部楼层
谢谢分享
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 21:20 , Processed in 0.032415 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表