在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4347|回复: 5

[求助] 用modelsim仿真Xilinx的ip核

[复制链接]
发表于 2015-9-22 10:37:29 | 显示全部楼层 |阅读模式
50资产
问个弱弱的问题,用modelsim怎么仿真Xilinx的ip核?我明明已经关联了Xilinx的库文件,modelsim的library中也显示了Xilinx的那几个库,如截图所示。而且,从ISE中直接用modelsim仿真也没有问题。但是,只要我从modelsim新建project,并且用到了Xilinx的ip,就仿真不了,报下面的错误:

# ** Error: D:/Modelsim/DDR_Slave_Test/src/version 1.2/ddr_global.v(74): Module 'IBUFGDS' is not defined.
# ** Error: D:/Modelsim/DDR_Slave_Test/src/version 1.2/ddr_global.v(79): Module 'BUFG' is not defined.
# ** Error: D:/Modelsim/DDR_Slave_Test/ip/PLL_400M.v(144): Module 'PLLE2_ADV' is not defined.
# ** Error: D:/Modelsim/DDR_Slave_Test/ip/PLL_400M.v(150): Module 'BUFG' is not defined.
# ** Error: D:/Modelsim/DDR_Slave_Test/ip/PLL_400M.v(154): Module 'BUFG' is not defined.


这个到底要怎么仿真呀?小弱求各位大牛指点一下啦,不胜感激!
QQ图片20150922104144.png

最佳答案

查看完整内容

vsim -t ps -novopt +notimingchecks -L unisims_ver -L secureip -L xilinxcorelib_ver work.glbl dut
发表于 2015-9-22 10:37:30 | 显示全部楼层
vsim -t ps -novopt +notimingchecks -L unisims_ver -L secureip -L xilinxcorelib_ver work.glbl dut
发表于 2015-9-22 11:50:40 | 显示全部楼层
那就不要用modelsim仿真 用isim不挺好么
 楼主| 发表于 2015-9-22 14:09:43 | 显示全部楼层
回复 2# haitaox

   非常感谢,搞定了!不过直接用您的命令也不行,因为我还没有添加glbl文件。拷贝了一份glbl文件,然后又运行了一下,就可以仿真了。
发表于 2016-5-22 14:12:37 | 显示全部楼层
好吧,应该是IP core少了资料吧
发表于 2016-7-17 13:02:59 | 显示全部楼层
回复 1# linghuqiubai


    这个用quartus就能用吧
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 12:55 , Processed in 0.032134 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表