在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5669|回复: 2

[求助] VCS编译时指定vivado仿真库的问题

[复制链接]
发表于 2015-9-21 14:24:41 | 显示全部楼层 |阅读模式
300资产
我在linux下用vivado生成了VCS的仿真库,目录为/home/logic/xilinx_lib,其子目录包括secureip、simprims_ver、unifast_ver、unimacro_ver、umisims_ver(目录下是一个AN.DB文件夹,再下面是一些.db以及.sdb文件)以及一个synopsys_sim.setup文件,现在我想在VCS中对PCIE的example design进行仿真,其中涉及到xilinx的一些底层加密文件,需要采用编译出来的仿真库!!!
我在命令行中用-y命令指定了vivado提供的.v文件,例如 -y /home/logic/Vivado_lib/unisims等,然后用-Mdir=/home/logic/xilinx_lib/unisims_ver -Mlib=/home/logic/xilinx_lib/unisims等命令指向编译出来的仿真库,但依然提示找不到那些底层的文件。

请问各位,是不是-Mdir和-Mlib命令使用错误,我该如何为VCS指定编译时/home/logic/xilinx_lib/下的仿真库?

发表于 2015-9-25 17:18:17 | 显示全部楼层
你还缺一个目录:vivado目录下的secretip这个库(好像是这么个目录),很多高速接口的仿真模型是加密了的,放在了那个目录;
一般这种高速接口编译过程比较麻烦,建议时候IP核生成的网表进行仿真
发表于 2016-5-16 21:52:18 | 显示全部楼层
hi,楼主,这个问题你搞定了吗,请问怎么解决的,我现在也遇到了这个问题,能否告知呢,谢谢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 19:30 , Processed in 0.017030 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表