在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3151|回复: 9

[求助] NOIS中关于UART与PC的通信问题

[复制链接]
发表于 2015-9-16 20:28:24 | 显示全部楼层 |阅读模式
100资产
急急急!!!。。。。。。
    在quartus 中使用UART核用于串口与PC通信
    nios中,写了一个串口(UART)接收程序,然后需要将接收的数据保存为txt 用于matlab分析,
但是要怎么把接收到的数据保存为txt,这段程序不会写,还请大神帮忙!!!!

发表于 2015-9-20 18:35:55 | 显示全部楼层
FPGA里面的数据不能直接拿出来存文件啊,建议还是再通过另外一个串口外设发送出来,通过上位机保存吧。
发表于 2015-9-20 19:00:03 | 显示全部楼层
回复 1# sy1success

在nios上实现XMODEM协议,PC上用超级终端收发数据就可以了.
发表于 2015-9-20 19:56:16 | 显示全部楼层
回复 1# sy1success


   FPGA将数据存储为txt格式,存到哪?存txt是为了PC机读取吧,matlab可以直接读取txt的十六进制的数据
 楼主| 发表于 2015-9-29 17:58:07 | 显示全部楼层
回复 4# wsz561208

我在做芯片测试,需要借助FPGA,通过串口接收芯片某引脚输出的数据流,然后用MATLAB分析这组数据流,接收数据保存为MATLAB可读的文件就好了
 楼主| 发表于 2015-9-29 18:00:36 | 显示全部楼层
回复 3# ddxx

XMODEM协议??没学过,之前有人说可以用串口助手,但具体怎么用暂时还不清楚,望大神指点一二
发表于 2015-9-29 21:12:50 | 显示全部楼层
回复 6# sy1success


    超级终端用来传输文件.

xmodem_串口_传输_协议.pdf

875.89 KB, 下载次数: 6 , 下载积分: 资产 -2 信元, 下载支出 2 信元

发表于 2015-9-29 21:13:22 | 显示全部楼层
如果你是把数据存在FPGA板子上,那你肯定得找个ROM来存,如果你直接存在板载的flash里面,可能到时候不好找到文件的物理地址段从而不好把文件倒出来。如果你的板子上有I2C或者SPI接口的ROM,不如把数据直接当成16进制的数据流写到里面。I2C和SPI接口好像SOPC Builder里面有现成的。
 楼主| 发表于 2015-9-30 14:50:20 | 显示全部楼层
回复 7# ddx


                   谢谢大神!
 楼主| 发表于 2015-9-30 14:54:02 | 显示全部楼层
回复 8# arccosx


             sopcbuilder里面是有一个SPI_core,之前用过它来给待测芯片发送数据,你的意思是添加一个SPI核,再写个SPI接收程序就可以了?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-24 13:23 , Processed in 0.037378 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表