在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
芯片精品文章合集(500篇!)    创芯人才网--重磅上线啦!
查看: 4361|回复: 5

[原创] 寻设计过8Gbps以上速率Serdes的模拟高手

[复制链接]
发表于 2015-6-5 17:23:24 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
寻设计过8Gbps以上速率Serdes的模拟高手,要求做过CDR/EQ 或LDO的正向设计,想组团做个项目,QQ1937055273
发表于 2015-6-15 11:22:10 | 显示全部楼层
MathWorks has brought together our most popular examples in one mixed-signal library. The library contains blocks, examples, and tutorials—all with full help—to enable you to build and verify your mixed-signal systems in Simulink. The latest version of the library (version 3.2) provides some minor bug fixes from previous versions.
What you can do with the mixed-signal library

    Build SERDES, ADC, PLL, digital predistortion, and switched-mode power supply models
    Easily combine analog and digital blocks in the same Simulink model to rapidly simulate mixed-signal systems
    Build fast behavioral models and evolve them to circuit simulations
    Link the mixed-signal library to SPICE modeling tools from Cadence and other vendors

What's in the library

    52 blocks for use with Simulink, including clock recovery, loop filter, VCO, jitter measurement, op-amp, and Ebers-Moll
    39 examples, featuring SERDES, ADC, digital predistortion, PLL, signal integrity, switched-mode power supply, and more
    Behavioral and circuit modeling blocks and examples
    Tutorials covering ADC, PLL, and digital predistortion
    Help for every block, including guides for getting started, tutorials, mixed-signal resources, and next steps

To make the mixed-signal library easy to use, it fully integrates with Simulink. The blocks and examples appear in the Simulink Library Browser—just the same as any blockset or system toolbox.
发表于 2015-10-22 15:55:21 | 显示全部楼层
SERDES开发还是有难度的。后期验证的代价也不小。只是做一个项目的话,为什么不用成熟的IP?
发表于 2015-11-2 19:57:02 | 显示全部楼层
顶一个啊
发表于 2018-12-24 08:53:00 | 显示全部楼层
学习中。。。。
发表于 2019-3-29 08:52:53 | 显示全部楼层
楼主项目做的怎么样了?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

关闭

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 12:53 , Processed in 0.025921 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表