在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 20382|回复: 21

[求助] 【求助】VCS和Verdi联合仿真,无法生成FSDB

[复制链接]
发表于 2014-11-19 14:55:31 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
提示如下信息:
*Novas*  Loading libsscore_vcs200606.so
*Novas*  /u/50/50/SYNOPSYS/Veridi1403/share/PLI/lib/LINUX/libsscore_vcs200606.so: cannot open shared object file: No such file or directory
*Novas*  Failed to load FSDB dumper.

软件版本:VCS1209/Verdi1403
VCS脚本如下:

vcs -l vcs.log \
    -notice \
    +v2k \
    +acc \
    +libext+.v \
    -fsdb \
    -work work \
    -f ../flist/flist.f

./simv -l simv.log


大神们帮看看什么问题,多谢了~
发表于 2014-11-19 18:07:12 | 显示全部楼层
需要加pli选项吧  vcs -P  文件路径
发表于 2014-11-21 15:16:07 | 显示全部楼层
没有设置环境变量,你设置下LD_LIBRARY_PATH变量指到verdi的PLI目录下,试试
发表于 2014-11-21 15:17:06 | 显示全部楼层
同时编译选项里面加-P
发表于 2014-11-21 18:16:48 | 显示全部楼层
先 setenv LD_LIBRARY_PATH /u/50/50/SYNOPSYS/Veridi1403/share/PLI/lib/LINUX

vcs 加上 -debug_pp -P /u/50/50/SYNOPSYS/Veridi1403/share/PLI/xxx/novas.tab /u/50/50/SYNOPSYS/Veridi1403/share/PLI/xxx/pli.a +define+DUMPFSDB
发表于 2014-11-22 11:24:10 | 显示全部楼层
环境变量设置,可以看看verdi的手册
发表于 2014-12-5 20:26:02 | 显示全部楼层
学习一下。。。。。。。。。。。
发表于 2014-12-14 18:39:36 | 显示全部楼层
学习一下。。。。。。。。。。。
发表于 2014-12-16 20:27:32 | 显示全部楼层
习一下。。。。。。。。。。。
发表于 2015-9-8 19:53:33 | 显示全部楼层
vcs 编译时 是否有以下选项:
vcs +cli+3
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-28 20:14 , Processed in 0.031381 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表