在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
EETOP诚邀模拟IC相关培训讲师 创芯人才网--重磅上线啦!
查看: 26358|回复: 119

[资料] Phase-Locked Loops Design, Simulation, and Applications, Sixth Ed

[复制链接]
发表于 2014-8-21 22:40:26 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
Phase-Locked Loops Design, Simulation, and Applications, Sixth Ed

作者简介:Dr. Roland E. Best (Zurich, Switzerland) is the founder of Best Engineering and world-renowned authority of phase locked loops, circuit design, and microprocessor applications. Dr. Best has worked for Sandoz A.G. and the IBM Research Laboratory in Zurich.


目录

Chapter 1: Introduction to PLLs
Chapter 2: Mixed-Signal PLL Building Blocks
Chapter 3: Mixed-Signal PLL Analysis
Chapter 4: PLL Performance in the Presence of Noise
Chapter 5: Design Procedure for Mixed-Signal PLLs
Chapter 6: Mixed-Signal PLL Applications Part 1: Integer-N Frequency Synthesizers
Chapter 7: Mixed-Signal PLL Applications Part 2: Fractional-N Frequency Synthesizers
Chapter 8: Mixed-Signal PLL Applications Part 3: Miscellaneous Applications
Chapter 9: Higher-Order Loops
Chapter 10: Computer-Aided Design and Simulation of Mixed-Signal PLLs
Chapter 11: All-Digital PLLs (ADPLLs)
Chapter 12: Computer-Aided Design and Simulation of ADPLLs
Chapter 13: The Software PLL (SPLL)
Chapter 14: The PLL in Communications
Chapter 15: Searching PLL Integrated Circuits
Appendix A: The Pull-in Process
Appendix B: The Laplace Transform
Appendix C: Digital Filter Basics
Appendix D: Measuring PLL Parameters References Index


Phase-Locked Loops Design, Simulation, and Applications, Sixth Ed.pdf (10.65 MB, 下载次数: 2327 )
发表于 2014-8-21 22:53:44 | 显示全部楼层
好书呀,谢谢啦
发表于 2014-8-22 09:11:13 | 显示全部楼层
jiuyaoxinban
发表于 2014-9-4 19:53:29 | 显示全部楼层
All-Digital PLLs
发表于 2014-9-11 13:45:01 | 显示全部楼层
不错的资料,谢谢
发表于 2014-10-15 16:09:42 | 显示全部楼层
for PLL !!!
发表于 2014-10-17 11:49:50 | 显示全部楼层
不错的资料,谢谢
发表于 2014-10-19 07:06:21 | 显示全部楼层
谢谢分享,下载看下
发表于 2014-10-20 14:09:10 | 显示全部楼层
谢谢分享
发表于 2014-10-22 13:47:35 | 显示全部楼层
非常感謝!很好的資料!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 14:16 , Processed in 0.032843 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表