在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 238805|回复: 630

Cadence IC616等套件以及Calibre2013的正规设置及使用,另附Jake Baker的教科书

[复制链接]
发表于 2014-7-8 01:38:52 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 min4max 于 2014-7-8 14:32 编辑

安装这些专业软件前,首先需要问自己一个问题:只是安装熟悉一下玩玩,还是要用作干正经设计?若只是用来熟悉软件玩玩,则坛上及坛外的许多安装教程可以应付。若要作为正经的设计工具用来干事,则本教程可以作为参考。Let's do it professionally.

看过坛上及坛外众多安装教程,基本上都是业余性质,许多甚至误人子弟教授胡乱更改系统,最终有极大可能使系统不能正常使用其他功能。例如Cadence需要设置环境变量LANG(在bash shell的机器上设置export LANG=C),但这就会破坏机器上原本的语言设置。比如说我的笔记本电脑上的Kunbuntu14.04(即KDE桌面的Ubuntu)是设置为使用中文环境的(环境变量为LANG=zh_CN.UTF-8和LANGUAGE=zh:en),因此在终端上运行指令会显示中文,如下图所示:
screenshot13.png
但若在.bashrc上另外设置export LANG=C的话,则就破坏了系统原本的语言设置,就不能在终端上正常显示中文而全是乱码!所以除非你专门为Cadence这类专业设计软件另外安装在一个专有的机器系统上进而可以修改系统的环境变量而不影响机器上的其他程序运行,否则绝对不要胡乱修改系统的.bashrc(bash shell机器)或.cshrc(C shell机器),特别是针对你的日常使用的有你宝贵数据的主力机器!我看到有个安装教程教授更改系统etc目录中的profile系统文件来设置Cadence环境变量——除非你确实知道你在干什么,否则绝对不要用root授权更改系统的设置,后果自负!

许多人会说,那么可以使用虚拟机设置专用虚拟机来运行这些专业软件嘛。我要问的是,你的机器很高端吗?就虚拟机那么点可用资源,可以在虚拟机上运行大型复杂的设计及仿真吗?要知道,Cadence给出的64位机器最低要求是至少8GB内存才能运行64位程序并推荐装32GB内存,你分配给虚拟机的资源够用吗?所以要干正经的设计与仿真(特别是大型复杂设计)绝对要远离使用虚拟机(虽然在虚拟机上你可以安装Cadence支持的系统如RHEL等)!另外,若你日常使用的主力机器是Windows机器,建议你另外搞一台高配专用机器安装Cadence等专业设计软件,再在你Windows机器上安装远程软件连接(如SSH)运行,而不要用Windows上的虚拟机,如此,当你远程访问运行软件时,软件本身还是运行在那台高配机器上但能够将结果远程显示在你本地机器上。

现在归入正题,我们要在普通Linux机器上安装设置及应用Cadence等软件。这里说的普通Linux不专指Cadence特定维护支持的系统的如RHEL等,而是大众流行的Linux,如Ubuntu和最新流行的Linux Mint之类,比如说我的笔记本就是Kubuntu 14.04系统。Cadence软件可以安装运行在所有Linux机器上而不一定非得在Cadence指定的RHEL等。以下以Ubuntu 14.04为例。

首先下载Cadence IC616、MMSIM132、Assura615、....,等等Cadence套件以及Mentor Graphics的Calibre2013等,建议只下载安装最新版本的软件,因为最新的通常都有以前没有的新的功能,不要浪费时间去琢磨旧版本,比如IC514之类。这些软件都是可以在本坛上搜索得到的,在此就不一一列出。

安装前,可能需要在你的Ubuntu安装几个附加的libraries库,例如csh, ksh, libelf1等,因为Cadence使用的诸如C shell之类并非普通Linux默认的bash shell等东西。安装过程中,若提示错误或警告,基本上都是系统欠缺某个或几个系统库或字库,只要按照提示,装上有关库即可。

你可以直接对IC616操作进行安装,但我推荐先安装Cadence的安装程序InstallScape,再在终端上cd到$CADHOME/installscape/iscape/bin/运行sudo ./iscape.sh(或者sudo sh iscape.sh)启动运行Cadence安装程序InstallScape。然后在InstallScape上安装各个Cadence软件。需要注意的是在configure设置各个Cadence软件(IC,MMSIM,Assura,...)阶段时你需要将所有Cadence软件的oa指向IC内的oa目录,比如说/opt/cadence/IC616/oa_v22.43.018

这儿是比较新的InstallScape网盘下载:http://pan.baidu.com/s/1ntypcWp

不建议将Cadence安装在你的$HOME目录里。你可以将其安装在系统目录里,比如说我将所有Cadence等设计软件以及PDK安装在/opt/目录下。例如上面的InstallScape,就在/opt/cadence/installscape/

在InstallScape上将所有Cadence程序安装完成后,再对所有各个Cadence套件进行patch。下载patch解压后移至$CADHOME,我的$CADHOME是在/opt/cadence/,按patch说明对各个软件(/IC616、MMSIM13、ASSURA41、EXT132、...)分别进行patch。完成后,回到上一目录,对所有Cadence软件运行如下指令改变用户权限:
sudo chown root:root -R $CADHOME (我的机器上为:sudo chown root:root -R /opt/cadence)
sudo chmod 755 -R $CADHOME (我的机器上为:sudo chmod 755 -R /opt/cadence)设置为只有root可以修改而其他所有用户只能读和运行。

坛上的这个patch经使用证明是最佳的patch,网盘下载:http://pan.baidu.com/s/1eQ48Twe

现在我们来谈谈最为关键的设置问题。前面已经提到过,如果我们在机器系统shell(Linux的默认shell为bash,因此通常就是修改你的$HOME目录里的.bashrc)上设置Cadence环境变量极有可能对系统正常运行产生不利影响。那么怎样才能规避这个不利因素?这就是我们现在要做的——制作一个shell script,将所有Cadence及相关套件如Calibre的环境变量及运行指令都放在这个shell script中。下面就是这个shell script的部分片段:
#!/bin/bash -e

############################################################################
# Cadence Design Systmes
#
# Master IC CAD flow including schematic capture, simulation, layout,
# and verification.
############################################################################
export CADHOME=/opt/cadence

#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
# Set up either CDS_LIC_FILE or LM_LICENSE_FILE, but not both or it'll
# take long time to search license.
#
# export CDS_LIC_FILE=port@hostname
# This variable should be consistent with the 1st line in the license.dat
# Run "hostname" or "uname -n" on terminal to get your hostname
#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
export CDS_LIC_FILE=5288@ThinkPad-T510

# Requied for Cadence on Linux
export LANG=C

#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
# Cadence - IC
#
# IC Schematic Entry, Simulation, Layout, Verification (Diva)
#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
export CDS=$CADHOME/IC616
.
.
.
.
.
#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
# Launch Cadence tools
#
# Adding & runs the process in the background allowing to continue using
# the current terminal.
#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
virtuoso &

这儿是名为cadence完整的shell script: cadence.rar (2.41 KB, 下载次数: 4213 )
下载解压后拷贝进你将运行Cadence的目录(比如说$HOME/project1,$HOME/project2,...,等等),在终端上设置这个shell script为可执行:chmod a+x cadence(或者用鼠标右键在cadence上选勾属性——>权限——>可执行(E))。在终端上cd到运行目录(比如$HOME/project1),运行指令./cadence(或者sh cadence)即可启动运行Cadence Virtuoso及其套件了。所有环境变量都在这个shell process中运行而不会影响到整个机器全局环境。

这儿是运行仿真的一个结果:
screenshot6.png

下面说明一下如何将Mentor的Calibra集成到Cadence的Virtuoso环境中。将这个Mentor官方指导文件: calibre config.rar (5.23 KB, 下载次数: 2417 )
中第二部分Instruction指明的那部分拷贝到你要运行Cadence的那个目录中的.cdsinit文件中,比如说$HOME/project1/.cdsinit  
再在上面我们的那个shell script中加进Calibra相应的环境变量及指令,例如像下面列出的:
#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
# Mentor Graphics Calibre
#
# MGC_HOME environment variable has been deprecated in favor
# of CALIBRE_HOME, so MGC_HOME is not set here.
#
# .cdsinit in the working directory should be modified for Calibre to
# be integrated into Cadence menu bar.
#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
export CALIBRE_HOME=/opt/mentor/Calibre2013/ixl_cal_2013.3_39.24
export MGLS_LICENSE_FILE=4688@ThinkPad-T510
export PATH=$CALIBRE_HOME/bin{PATH}

#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
# Mentor Environment Checking
#
# Note: CALIBRE_SKIP_OS_CHECKS and its predecessor SKIP_OS_CHECKS
# are deprecated and should not be used.
# Level 2 suppresses and ignores all warning messages, writes non-fatal
# error messages to stderr and aborts execution.
#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
export CALIBRE_OS_MSG_LEVEL=2

#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
# Start up Mentor license server
#
# The license server should be started prior to launching Calibre tools
# or they won't work and the license error will be shown.
#
# Also make a symlink to /tmp in /usr (run "sudo ln -s /tmp tmp" in /usr),
# to prevent the following error message showing up on terminal:
# (mgcld) Can't make directory /usr/tmp/.flexlm, errno: 2(No such file or directory)
#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
$CALIBRE_HOME/bin/lmgrd -c $CALIBRE_HOME/shared/license/license.dat

这儿Calibra的license.dat中的第一和第二行设置为:
SERVER ThinkPad-T510 xxxxxxxxxxxxxxxx 4688
DAEMON mgcld /opt/mentor/Calibre2013/ixl_cal_2013.3_39.24/lib/mgcld
其中的xxxxxxxxxxxxxxxx为本机器的MAC地址。Calibre具体的安装及patch可以参考本坛上的几个安装教程。

集成后的Virtuoso就是这个样子,注意红色圈里的菜单目录显示了Calibre,从这儿就可以运行相应的Calibre程序,如DRC,LVS等:
screenshot12.png

至此,相应软件到此基本设置完成。现在再附上NCSU的CDK及FreePDK作为教学练习用。在Cadence上只需安装其中的CDK,网盘:http://pan.baidu.com/s/1gdei4sr 下载解压至相应的PDK目录,比如说/opt/PDKs/ncsu-cdk-1.6.0.beta/,具体设置,参见http://cmosedu.com/cmos1/cadence/IC61_readme.htm

最后推荐Jake Baker教授制作的Cadence教程:http://cmosedu.com/videos/cadence/cadence_videos.htm 你若完成了这六个辅导教程(需要结合视频练习),就可基本掌握Cadence的操作和初步电路设计技能。例如第一个辅导教程中计算电阻的长宽要用到公式R=Rs*L/W:其中R为设计电阻,Rs为sheet电阻,W和L分别为设计电阻的宽和长。当知道了sheet resistance为800欧,设计电阻为10K,假设W=4.5u,则L就约为56u。Jake Baker教授在CMOSedu.com上还有其他软件如Silvaco、HSPICE、MATLAB、Mentor等等的辅导教程

最为一个bonus福利,在此附上网盘下载Jake Baker教授在CMOSedu.com上列出的两本书:
http://pan.baidu.com/s/1hqJxWqS
http://pan.baidu.com/s/1cWM4m
这两本是CMOS混合信号电路经典教科书,他在CMOSedu.com还附上了大量应用Cadence等软件的习题练习。
发表于 2014-7-8 11:27:33 | 显示全部楼层
本帖最后由 xxmule 于 2014-7-8 11:28 编辑

顶一下,不过装在笔记本上也是玩玩而已,

你的帖子还是要再顶一下


发表于 2014-7-9 00:40:00 | 显示全部楼层
写得很详细,资料内容很丰富,赞一个
发表于 2014-7-9 22:33:19 | 显示全部楼层
it is very good, thanks a lot in advance. get too much from this file
发表于 2014-7-9 23:09:22 | 显示全部楼层
真实,厚道人!
发表于 2014-7-10 07:23:14 | 显示全部楼层
支持原创!!!
发表于 2014-7-10 22:15:52 | 显示全部楼层
回复 1# min4max

兄弟写得好啊!!!
发表于 2014-7-10 22:19:04 | 显示全部楼层
再次感谢!!!
发表于 2014-7-11 00:16:46 | 显示全部楼层
认真细读,专业! 除了专门买台高端机,其他都能做到。
发表于 2014-7-11 16:44:29 | 显示全部楼层
謝謝.....
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 00:05 , Processed in 0.059793 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表