在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 15040|回复: 9

VCS dump 出fsdb后,使用verdi开启,讯号全是NF

[复制链接]
发表于 2014-3-16 04:33:49 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
小弟最近在安装工作站,在测试TOOL是否正常时发现VCS dump出来的fsdb挡案一直无法被verdi所用由verdi打开后,讯号全部都会显示NF,但我在终端机下没有看到任何的错误,
并且也有指定
export LD_LIBRARY_PATH=host_name:/usr/novas/share/PLI/VCS/LINUX

输入指令为vcs -fsdb *.v
              ./simv
接着使用verdi开起,应该是没有错误,以下是终端机内的资讯,请各位高手帮忙找出问题,谢谢
[root@weihost ~]# cd counter/
[root@weihost counter]# vcs -fsdb *.v
                         Chronologic VCS (TM)
            Version G-2012.09 -- Sun Mar 16 04:35:47 2014
               Copyright (c) 1991-2012 by Synopsys Inc.
                         ALL RIGHTS RESERVED

This program is proprietary and confidential information of Synopsys Inc.
and may be used and disclosed only as authorized in a license agreement
controlling such use and disclosure.

Parsing design file 'counter.v'
Parsing design file 'tb_counter.v'
Top Level Modules:
       tb_counter
TimeScale is 1 ns / 100 ps
Notice: Ports coerced to inout, use -notice for details
Starting vcs inline pass...
2 modules and 0 UDP read.
recompiling module master_slave_jk
recompiling module tb_counter
Both modules done.
if [ -x ../simv ]; then chmod -x ../simv; fi
g++  -o ../simv -melf_i386    -Wl,-whole-archive    -Wl,-no-whole-archive  _vcsobj_1_1.o  5NrI_d.o 5NrIB_d.o SIM_l.o     rmapats_mop.o rmapats.o       /usr/synopsys/G-2012.09/linux/lib/libnplex_stub.so /usr/synopsys/G-2012.09/linux/lib/libvirsim.so /usr/synopsys/G-2012.09/linux/lib/librterrorinf.so /usr/synopsys/G-2012.09/linux/lib/libsnpsmalloc.so     /usr/synopsys/G-2012.09/linux/lib/libvcsnew.so /usr/synopsys/G-2012.09/linux/lib/libuclinative.so         /usr/synopsys/G-2012.09/linux/lib/vcs_save_restore_new.o /usr/novas/share/PLI/VCS/LINUX/pli.a /usr/synopsys/G-2012.09/linux/lib/ctype-stubs_32.a -ldl -lm  -lc -lpthread -ldl
../simv up to date
cpu time: .242 seconds to compile + .202 seconds to elab + .149 seconds to link
[root@weihost counter]# ./simv
Chronologic VCS simulator copyright 1991-2012
Contains Synopsys proprietary information.
Compiler version G-2012.09; Runtime version G-2012.09;  Mar 16 04:36 2014
*Verdi3* Loading libsscore_vcs201209.so
*Verdi3* : Enable Parallel Dumping.
FSDB Dumper for VCS, Release Verdi3_2013.07, Linux, 07/04/2013
(C) 1996 - 2013 by Synopsys, Inc.
*Verdi3* : Create FSDB file 'counter.fsdb'
*Verdi3* : Begin traversing the scopes, layer (0).
*Verdi3* : End of traversing.
$stop at time 11000 Scope: tb_counter File: tb_counter.v Line: 14
ucli%
 楼主| 发表于 2014-3-16 15:07:17 | 显示全部楼层
我有试过dump vcd出来是正常的
且开启DVE仿真也正常
发表于 2014-3-18 10:57:52 | 显示全部楼层
请注意你的verdi版本,以及vcd 导出的fsdb的版本
发表于 2014-3-19 16:18:13 | 显示全部楼层
波形时间只有11000ps。我猜是这样的,你波形文件太小了,还在内存中没有更新到硬盘上,退出vcs再启动verdi应该就行了。
 楼主| 发表于 2014-3-20 18:56:53 | 显示全部楼层
后来有成功了,谢谢
发表于 2014-7-16 10:09:57 | 显示全部楼层
回复 1# owen70080


    请问我导出.fsdb波形文件出现:Verdi3 canot load libsscore_vcs201103.so from following LD_LIBRARY_PATH,这是怎么回事啊???望指点!!!谢谢!!!
发表于 2014-7-25 22:45:05 | 显示全部楼层
再看看路径设置的是否正确,或者这个变量是否有设置?
导出fsdb可能是tb为顶层,只加入下面的设计很可能出现nf
发表于 2014-10-27 20:13:19 | 显示全部楼层
回复 5# owen70080
楼主你的问题是怎么解决的?我也遇到了。。。
发表于 2016-4-25 18:17:00 | 显示全部楼层
这个是仿真时间有点短,波形文件还没有写到硬盘上,因此verdi看不到波形的原因
发表于 2017-6-23 22:27:31 | 显示全部楼层
Thanks for your sharing.
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 01:11 , Processed in 0.034231 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表