在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3979|回复: 5

[求助] 标准单元库verilog仿真模型

[复制链接]
发表于 2014-1-10 14:58:30 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
标准单元库verilog仿真模型
请问ncx生成的standard cell lib文件,用来生成标准单元的verilog仿真模型, 我用Library Compiler 的write_lib -format verilog命令转出来的verilog仿真库功能都没错,可是delay time都和lib文件里不同,不管什么cell,什么驱动能力,延时都是0.01
发表于 2014-1-12 14:55:32 | 显示全部楼层
那是仿真模型,随便设置多少都可以,后端工具用LIB文件抽取sdf,然后反标注到仿真模型上(specify block),这就是后仿
发表于 2014-1-13 09:18:45 | 显示全部楼层
学习了
 楼主| 发表于 2014-1-20 18:14:12 | 显示全部楼层
回复 2# my2817


   问题是现在不想它是一个随便的值,需要这个仿真单元库里的延时参数是和lib里的参数对应的,不做后仿,仅仅是网表的功能仿真,想要用做lib是仿出来的那些cell delay
发表于 2018-3-5 10:59:26 | 显示全部楼层
回复 4# belamy


请问你的问题解决了吗?如何在功能仿真时利用cell delay
发表于 2023-10-9 11:20:18 | 显示全部楼层


请教下 这个可以解决吗?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 16:57 , Processed in 0.023701 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表