在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5944|回复: 14

安装IC615出现的奇怪问题

[复制链接]
发表于 2013-12-16 12:52:31 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位,我在http://bbs.eetop.cn/viewthread.php?tid=317711&highlight=ic615上下载了版主cxl666上传的软件,放到了/opt/IC6151下。我在终端输入virtuoso这个命令时,出现提示command not found。我又进入/opt/IC6151/tools/dfII/bin目录,再此目录下键入命令virtuoso,结果出现下面提示:
No proper OA2.2 installation found. Fix the errors reported by cds GetOABinPath
自己不知道问题出现在哪里了。请大家指点一二啊,在此先谢谢啦!!

我用的是linux 5.4 的64位系统,shell是csh,附上我的.cshrc文件:
setenv MMSIM_ROOT /opt/IC6151/MMSIM10
setenv OA_HOME /opt/IC6151/oa_v22.41.004/
setenv CDS_ROOT /opt/IC6151
setenv CDSDIR /opt/IC6151
setenv CDSHOME /opt/IC6151
setenv CDS_ROOT /opt/IC6151
setenv CDS_INST_DIR /opt/IC6151
setenv CDS_LIC_FILE /opt/license/license.dat
setenv CDS_Netlisting_Mode Analog
setenv PATH ${CDS_INST_DIR}/tools/bin:{$PATH}
setenv PATH ${CDS_INST_DIR}/tools/dfII/bin:{$PATH}
setenv PATH ${CDS_INST_DIR}/tools/plot/bin:{$PATH}
setenv PATH ${CDS_INST_DIR}/tools/dracula/bin:{$PATH}
setenv PATH ${CDS_ROOT}/tools/bin:{$PATH}
setenv PATH ${CDS_ROOT}/tools/dfII/bin:{$PATH}
setenv PATH ${CDS_ROOT}/tools/dracula/bin:{$PATH}
setenv PATH ${CDS_ROOT}/tools/plot/bin:{$PATH}
setenv PATH ${CDS_ROOT}/tools/iccraft/bin:{$PATH}
setenv PATH ${MMSIM_ROOT}/tools/dfII/bin:{$PATH}
setenv PATH ${MMSIM_ROOT}/tools/spectre/bin:{$PATH}
setenv PATH ${MMSIM_ROOT}/tools/ultrasim/bin:{$PATH}
setenv PATH ${MMSIM_ROOT}/tools/bin:{$PATH}
setenv CDS_AUTO_64BIT ALL
发表于 2013-12-16 16:02:05 | 显示全部楼层
yum install ksh
另外在cshrc中设定OAHOME路径
 楼主| 发表于 2013-12-16 16:18:43 | 显示全部楼层



您好snk88,请教几个问题哈:
1.我出现的这个错误是怎么跟ksh攀上关系的?
2.在cshrc里面设定OAHOME的路径,还是设定OA_HOME的路径?
3.我用的是linux as 5.4,我用yum不好使啊,是不是安装时没有序列号的原因呢?

谢谢!!!
发表于 2013-12-16 16:37:34 | 显示全部楼层
 楼主| 发表于 2013-12-17 08:54:01 | 显示全部楼层
回复 4# snk88


您好snk88,我看到了您提供的链接。我看到坛友speedrain的错误提示是没有ksh。我的系统没出现这个提示啊。而且我输入“which virtuoso”这个命令后,系统竟然没有找到!

诡异啊!!
发表于 2013-12-17 13:22:19 | 显示全部楼层
回复 5# wjx197733

试试



set path=(${CDS_INST_DIR}/tools/bin {$path})

set path=(${CDS_INST_DIR}/tools/dfII/bin {$path})
set path=(${CDS_INST_DIR}/tools/plot/bin {$path})
set path=(${CDS_INST_DIR}/tools/dracula/bin {$path})
set path=(${CDS_ROOT}/tools/bin {$path})
set path=(${CDS_ROOT}/tools/dfII/bin {$path})
set path=(${CDS_ROOT}/tools/dracula/bin {$path})
set path=(${CDS_ROOT}/tools/plot/bin {$path})
set path=(${CDS_ROOT}/tools/iccraft/bin {$path})
set path=(${MMSIM_ROOT}/tools/dfII/bin {$path})
set path=(${MMSIM_ROOT}/tools/spectre/bin {$path})
set path=(${MMSIM_ROOT}/tools/ultrasim/bin {$path})
set path=(${MMSIM_ROOT}/tools/bin {$path})
 楼主| 发表于 2013-12-18 06:42:37 | 显示全部楼层
回复 6# snk88


再次感谢。受您的提示,修改了一下.cshrc,前面的问题解决了。但license有问题,提示:unable to get the cadence design framework II license feature of "111"。可能是license有问题了。
发表于 2013-12-18 10:29:00 | 显示全部楼层
回复 7# wjx197733


    unable to get the cadence design framework II license feature of "111"表示一定是license没有弄好哈
 楼主| 发表于 2013-12-18 11:08:21 | 显示全部楼层
我用的license是版主cxl666提供的,说是几乎支持所有cadence软件
发表于 2014-1-11 19:09:43 | 显示全部楼层
need license
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 18:35 , Processed in 0.036186 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表