在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 9292|回复: 10

[求助] DC综合的问题

[复制链接]
发表于 2013-9-17 21:45:00 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
大家好,我才学DC,我发现每次我用前辈留下来的脚本文件在dc_shell里面就可以运行完成,但是想用图形界面看一下综合出来的电路时,图形界面在综合的时候总是有错误。。错误为:Warning: Can't find clock 'Clk' in design 'Intro_TopFF'. (UID-95)
          Error: Value for list 'object_list' must have 1 elements. (CMD-036)

请回的大神帮我看看吧。万分感谢!!
发表于 2013-10-22 11:16:43 | 显示全部楼层
你看一下运行的log是否有error之类的。
发表于 2013-10-22 13:20:04 | 显示全部楼层
看看create_clock这个命令的格式,时钟port的名称是否有改变
发表于 2013-11-19 20:52:11 | 显示全部楼层
解决了吗?同问。。。
发表于 2013-11-19 21:01:26 | 显示全部楼层
回复 1# 小望怕水


   既然这样你每次综合完之后再打开图形界面看逻辑不就得了!
发表于 2018-7-16 21:06:45 | 显示全部楼层
Error: Value for list 'object_list' must have 1 elements
请问你这个问题怎么解决的尼,我也是这个问题
发表于 2018-7-19 15:03:06 | 显示全部楼层
5 楼说得对啊,你综合完之后 start_gui 就可以了啊
发表于 2018-8-16 16:11:22 | 显示全部楼层
运行脚本文件,看产生的log,里面应该也有error的
发表于 2021-8-23 23:23:42 | 显示全部楼层
谢谢
发表于 2021-11-11 11:30:22 | 显示全部楼层
楼主你这个问题怎么解决的?我也遇到了这样的问题!多谢解答一下,是综合的时序不满足导致出现这样的error?还是其他原因?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-28 22:56 , Processed in 0.031827 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表