在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5511|回复: 31

[资料] 将C算法转换为Verilog实现的一种方法——核心

[复制链接]
发表于 2013-1-23 00:55:43 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
将C算法转换为Verilog实现的一种方法——核心.pdf (1.37 MB, 下载次数: 600 )
发表于 2013-1-23 08:38:07 | 显示全部楼层
将C算法转换为Verilog实现的一种方法
发表于 2013-1-23 12:41:15 | 显示全部楼层
很不错的资料  看看
发表于 2013-1-23 13:29:23 | 显示全部楼层
Thank you very much.
发表于 2013-1-23 15:27:18 | 显示全部楼层
应该不错~~~
发表于 2013-1-23 15:48:06 | 显示全部楼层
看起来还不错
发表于 2013-1-23 18:28:57 | 显示全部楼层
Good start. But the content is too simple. Hope to find some complex examples.
发表于 2013-1-27 11:36:05 | 显示全部楼层
谢谢了
发表于 2013-1-27 11:44:03 | 显示全部楼层
thanks!!!!!!!!!!!!!!!!!
发表于 2013-1-28 10:39:53 | 显示全部楼层
期刊论文
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 17:54 , Processed in 0.029794 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表