在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5414|回复: 7

[求助] 想问一下,怎么统计电路中每个节点为0或1的概率

[复制链接]
发表于 2013-1-8 23:14:31 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
有待测电路,我需要给这些电路随机输入激励N次,然后统计每个节点(内部节点和输出)的次数,大致算出每个节点的概率。
该怎么搭平台呢?tetramax、perl。
望大家教教。
发表于 2013-1-9 00:04:14 | 显示全部楼层
有输出文本么,perl可以的
发表于 2013-1-9 10:30:30 | 显示全部楼层
回复 1# zuiqiangzhe


    记得描述翻转率的有种通用文件叫saif,你看看你的EDA软件能不能写出saif。
这样就省得你自己写脚本了
 楼主| 发表于 2013-1-16 21:18:03 | 显示全部楼层
回复 2# icfbicfb


    你好,想问下,怎么统计内部节点为0和1的概率呢?
 楼主| 发表于 2013-1-16 21:19:44 | 显示全部楼层
回复 3# sjtusonic


    你好,能说详细些吗?
发表于 2013-1-17 08:58:49 | 显示全部楼层
本帖最后由 sjtusonic 于 2013-1-17 08:59 编辑

Parallel SAIF
SAIF is Switching Activity Interchange Format, a file format for
Power Compiler. VCS writes or dumps SAIF files for it.
Parallel SAIF is a feature to improve runtime performance. Parallel
SAIF uses the VCS Multicore Application Level Parallelism (ALP)
capability for multicore machines. In it Parallel SAIF uses a
consumer or slave process to write or dump SAIF files while the
simulation is run by the producer or master process.
Serial SAIF dumping, that is having VCS write SAIF files without
using the advantage of a multiple processor machine, is of course
still supported.
You specify Parallel SAIF with the -parallel+saif compile-time
or runtime option.

Introduction to SAIF Files
The accuracy of the power calculations used by Power Compiler depends on the accuracy of the switching activity. Switching activity is calculated using RTL or gate-level simulation and is stored in a SAIF file. You can use the SAIF file to annotate switching activity information on the design objects before you perform power optimization and analysis.

SAIF is an ASCII format supported by Synopsys to facilitate the interchange of information between various Synopsys tools. You use the read_saif command to read SAIF file and the write_saif command to write out the SAIF file. For more information, see the man pages.

Converting a VCD file to a SAIF File
The vcd2saif utility converts the RTL or gate-level VCD file generated by VCS into a SAIF file. This utility has limited capability when the VCD is generated from the SystemVerilog simulation as described in “Limited SystemVerilog Support in vcd2saif Utility”.

The vcd2saif utility is architecture-specific and is located in install_dir/$ARCH/syn/bin. The $ARCH environment variable represents the specific platform (architecture) of your Synopsys software installation, such as linux, AMD.

You can use compressed VCD files (.Z) and gzipped VCD files (.gz). In addition, for VPD files, you can use the utility located at $VCS_HOME/bin/vpd2vcd, and for FSDB files, you can use the utility located at $SYNOPSYS/bin/fsdb2vcd.

You can use the following syntax for the vcd2saif utility for RTL simulation and gate-level simulation:

vcd2saif -instance_path vcd_file -output bsaif
vcd2saif
    [-instance path ...]
    [-format lang] [-testbench lang]
    [-verilog_instance path] [-vhdl_instance path]
    [-no_div] [-keep_leading_backslash] [-time]

The vcd2saif utility does not support state-dependent and path-dependent switching activity. For information about each option, use the vcd2saif -help command.

以上只是关于saif的相关信息,不知与你的使用要求是否吻合。
 楼主| 发表于 2013-1-22 22:27:17 | 显示全部楼层
回复 6# sjtusonic


    谢谢了,我先看看
发表于 2015-10-28 14:17:24 | 显示全部楼层
请问你这个问题解决了吗,现在遇到和你一样的问题的,求解答,O(∩_∩)O谢谢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 14:01 , Processed in 0.028871 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表