在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6739|回复: 9

synopsys软件安装出错,求助各位!

[复制链接]
发表于 2012-11-28 21:30:25 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
安装完成,license破解也是通过,就是后来启动的时候总是提示:license manager: can't initialize: Cannot find license file.
The license files (or license server system network addresses) attempted are
listed below.  Use LM_LICENSE_FILE to use a different license file,
or contact your software provider for a license file.
Filename:      /usr/local/flexlm/licenses/license.dat
License path:  /usr/local/flexlm/licenses/license.dat
FLEXnet Licensing error:-1,359.  System Error: 2 "No such file or directory"
For further information, refer to the FLEXnet Licensing End User Guide,
available at "www.macrovision.com"
跟之前论坛里面的http://bbs.eetop.cn/thread-209194-1-1.html现象一致,kalahara当时的解决办法是自己建立了/usr/local/flexlm/lisenses/license.dat文件,我也安装他/她的做法新建了相应的路径和文件,但是license.dat怎么搞,着实很没头绪,网上说license.dat的内部数据还分了好几个部分。
当时那篇帖子的很多回复也是碰到了这个问题,而且都解决,小弟不才琢磨不透,只能求助各位了!!
 楼主| 发表于 2012-11-28 21:31:23 | 显示全部楼层
自己顶一下,坐等各路高手出手相助!
发表于 2012-11-29 00:41:04 | 显示全部楼层
license src file?
 楼主| 发表于 2012-11-29 12:41:03 | 显示全部楼层
回复 3# bambucha2007


   可是我破解生成的license文件synopsys.dat用sssverify.exe验证已经通过了啊,主要是我的usr目录下根本就没有Filename:      /usr/local/flexlm/licenses/license.dat
License path:  /usr/local/flexlm/licenses/license.dat
自己建立相应的目录和文件之后,问题在于flexlm的license.dat怎么搞呢?
发表于 2012-11-29 12:57:52 | 显示全部楼层
floating 的 license需要启动,如果是fixed node的话环境变量应该是软件自己的一个。
发表于 2012-11-29 21:33:01 | 显示全部楼层
在cshrc中设置 LM_LICENSE_FILE
发表于 2012-11-29 23:00:13 | 显示全部楼层
in your envionment file, please set LM_LICENSE_FILE=your lic file.
 楼主| 发表于 2012-12-2 16:07:31 | 显示全部楼层
回复 6# stokley


   在b开头的文件设置环境变量了,现在的问题是VCS,DC可以用了,PT不行~~~好郁闷的安装过程啊
发表于 2017-8-14 17:07:31 | 显示全部楼层
回复 8# tobemyself


   我也是这样的问题,有解决吗
发表于 2019-11-7 17:24:39 | 显示全部楼层
正遇到这样的问题,求问怎么解决的??讲一下过程啊
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-24 07:29 , Processed in 0.034113 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表