在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 21859|回复: 26

[求助] Ncverilog后仿反标SDF不正确

[复制链接]
发表于 2012-4-7 09:04:34 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
版图给的是SPEF文件,用PT读入之后写sdf文件出来,在Ncverilog仿真时出现下面的warning:Read error for default code, skipping annotation of top_min.sdf.X。Ncverilog查看波形,反标的延时都是ns单位的,查看一个buf的延时是1ns,感觉是ncverilog把sdf里面的时序全都进位了,假设延时是0.002ns,ncverilog当成1ns。谁知道会出现这个问题的原因啊,先谢了。
发表于 2012-4-7 09:50:56 | 显示全部楼层
回复 1# zhww722

这个,我也遇到相同的问题。可能是sdf格式的问题。

报这个错就说明时序没标上。

有人建议用vcs。
发表于 2012-4-7 10:22:08 | 显示全部楼层
回复 1# zhww722


    偶然发现。

     pt写sdf的时候

      write_sdf  加上 -output  参数


       就可以标上了。
 楼主| 发表于 2012-4-7 10:31:47 | 显示全部楼层
-output  参数,这些参数怎么设置?我先去试试可以不
 楼主| 发表于 2012-4-7 10:42:37 | 显示全部楼层
回复 3# otogyg


    还是不行啊,我直接使用的是write_sdf -output top.sdf,  ncverilog仿真还是出现同样的错误,时序反标还是不对。你当时是怎么设置的啊
发表于 2012-4-7 10:45:52 | 显示全部楼层
回复 5# zhww722


    write_sdf -context Verilog -output top.sdf
 楼主| 发表于 2012-4-7 10:46:09 | 显示全部楼层
回复 2# otogyg


    你这个问题当时怎么解决的啊?不用NC用VCS??
 楼主| 发表于 2012-4-7 10:47:26 | 显示全部楼层
回复 6# otogyg


    嗯 谢谢你啊 我再去试试
发表于 2012-4-7 10:54:46 | 显示全部楼层
回复 8# zhww722


    还是用的nc,没换。不知道你ncelab的参数怎么设置的。

     像 maxdelays 啊  delay_mode 啊 ,不知道你注意没。
 楼主| 发表于 2012-4-7 11:07:18 | 显示全部楼层
回复 6# otogyg
不行啊,出现的warning信息更多了

ncelab: *W,SDFINF: Instance SN not found at scope level U9 <../syn/wroute/top_min.sdf, line 12810>.
ncelab: *W,SDFAND: Attempted INTERCONNECT annotation to non-existent destination port A_OUT at scope level U9 <../syn/wroute/top_min.sdf, line 20>.
ncelab: *W,SDFUXC: Unexpected code found in compiled SDF file: top_min.sdf.X (158). ncelab: *W,SDFUXC: Unexpected code found in compiled SDF file: top_min.sdf.X (99).
ncelab: *W,SDFINF: Instance U_SPI_sdo_reg not found at scope level U9 <../syn/wroute/top_min.sdf, line 133848>. ncelab: *W,SDFRDE: Read error for default code, skipping annotation of top_min.sdf.X.
ncelab: *W,SDFRDE: Read error for default code, skipping annotation of top_min.sdf.X.
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-18 17:06 , Processed in 0.045399 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表