在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 17741|回复: 58

[资料] 基于FPGA的FIR滤波器设计--报告及VHDL程序

[复制链接]
发表于 2012-3-19 15:33:14 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
设计要求:
    利用所学知识,采用Vhdl语言完成FIR滤波器的设计仿真。要求用VHDL编程设计底层文件,顶层文件可任意(可用原理图方式或文本方式);完成仿真文件(包括MATLAB和QUARTUSII两种仿真)并对其结果比较。
具体设计指标如下:
(1)采样频率 ;
(2)截止频率 ;
(3)输入序列为10位(最高位为符号位);
(4)窗口类型为kaiser窗, =0.5 ;
(5)滤波器长度为16 ;
(6)输出结果保留10位。   



具体请看下面文件,里面有设计报告及具体的VHDL程序::    自己做的,。,,望大家支持。!!谢谢!!


基于FPGA的FIR滤波器设计--报告及程序.rar (8.19 MB, 下载次数: 1405 )
发表于 2012-3-19 20:40:13 | 显示全部楼层
多谢楼主,最近在看这方面的东西。
先看看,不会再来请教
发表于 2012-3-19 22:49:48 | 显示全部楼层
非常不错参考资料!!!!!
发表于 2012-3-20 09:27:07 | 显示全部楼层
非常不错参考资料!!!!!
发表于 2012-3-22 10:05:12 | 显示全部楼层
非常不错参考资料@@@
发表于 2012-3-23 10:05:53 | 显示全部楼层
基于FPGA的FIR滤波器设计--报告及VHDL程序, 很好
发表于 2012-3-23 23:03:56 | 显示全部楼层
下来看看,实例
发表于 2012-4-7 14:18:34 | 显示全部楼层
正好用的到 看看先
发表于 2012-4-7 23:13:14 | 显示全部楼层
回复 1# 醉恋秋枫


   just wanted
发表于 2012-4-12 09:56:57 | 显示全部楼层
为什么下载不下来?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 00:30 , Processed in 0.036935 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表