在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 14202|回复: 15

[求助] VERDI 波形怎么显示字母.问题.

[复制链接]
发表于 2012-1-12 11:41:12 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
verdi-1.jpg 各位大哥,小弟有一个关于VERDI波形的问题.
在VCS仿真生成FSDB文件.在用VERDI看波形,就是在看波形的时候有时候状态机显示的是字母有时候显示的数字.
请问用什么方法可以控制波形显示字母.我都用PARAMETER定义过..
类似于如图.有时候可以显示 有时候不能显示字母.很不爽.希望高手指点下,谢谢.最好有攻略..呵呵呵小弟谢过....
发表于 2012-1-12 13:34:29 | 显示全部楼层
Verdi 中 Waveform -> Signal Value Radix -> Edit Alias,出现一个对话框。

1. Alias Table 为对这个信号起别名(alias)表的名字。

2. 下面表格中 Alias 填你要显示的字母(用你 parameter的名字即可)

3. 下面表格中 Value填对应行中左边 Alias 名字对应的数字(用你 parameter 后赋得值即可)

4. 下面表格中 Background Color 为颜色(可填可不填)

5. 最上面 Save as 为保存这个 alias file

6. 点击最小面的 Apply即可。

你试一下~~
发表于 2012-1-12 13:38:17 | 显示全部楼层
本帖最后由 vongy 于 2012-1-12 13:43 编辑

回复 1# wdm518

3

3

2

2

1

1
 楼主| 发表于 2012-1-12 15:14:18 | 显示全部楼层
回复 3# vongy

你太帅啦/....啊哈哈哈..感谢.
 楼主| 发表于 2012-1-12 15:16:33 | 显示全部楼层
回复 2# xing143


    非常感谢~!!
发表于 2012-1-14 15:06:20 | 显示全部楼层
本帖最后由 llh1119 于 2012-1-14 15:08 编辑

打开波形后切回verdi主窗口,将代码切换到有状态机的那个模块,然后按下显示电路的那个按钮,之后切回波形去看一下,状态机的数字都变成字母了
发表于 2012-1-14 18:37:19 | 显示全部楼层
简单的方法楼上正解,复杂点的,楼上的楼上的楼上的楼上!
发表于 2012-3-23 17:49:52 | 显示全部楼层
好答案,果断收藏
发表于 2012-3-26 15:51:13 | 显示全部楼层
让信号用2进制显示就可以了
发表于 2012-3-26 22:05:28 | 显示全部楼层
三楼说的很对,鼓掌,呵呵
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 15:37 , Processed in 0.036386 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表