在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 10935|回复: 22

[求助] windows下使用debussy求助

[复制链接]
发表于 2011-12-4 20:46:28 | 显示全部楼层 |阅读模式
180资产
之前的工程都是用win下面开发的,都是用ISE管理工程,利用ISE调用snpylify综合,调用modelsim仿真,之前都做一些小工程,或者板子上某一片的FPGA程序,现在要作一个板子上3片FPGA的开发,三片之间很多交互,而三片FPGA型号不同,ISE管理不了工程,调用modelsim仿真以及trace都很不方便。就想用些别的工具,发现debussy应该能满足这样的要求。
网上有一些使用的教程,但是感觉上理解有些抽象如何使用工具。
就使用GUI,import file之后,选择TOOLS--》interactive mode后菜单栏就有了选择仿真的按键了(我已经在preference改了simulator工具的路径),但是有些调用原语(如SRL16,FDE等)v文件下很多模块都是显示undefined module,然后就无法仿真。log文件中的错误都是类似,*Error* view FDCE is not defined for inst FD_inst
,*Error* view DDS is not defined for inst DDS_60M,之类的调用的dds,乘法器,或者FD等等没有定义。
请问大家中间有哪些过程,很多教程脚本类实现的,因为一直用win,对鼠标操作更熟悉,对脚本有些云里雾里,
1,我的要求debussy是否能满足?
2,在仿真时,是否要吧testbeach添加到debussy中后进行仿真?
3,原语,IPCORE,等如何被debussy识别,需要如何进行库的操作?
4,nlint是否要单独下载,我是在论坛下载debussy5.4V9,如何知道是否已经包含了nlint
5,是否可以不用脚本用鼠标(GUI)完成操作?脚本的效率确实高些,但是上手较慢,我觉得还是先能用学会在GUI界面下用会这个软件最好

问题比较菜鸟,但是希望大家不吝赐教。也希望能给和我同样的菜鸟一些帮助
谢谢大家

最佳答案

查看完整内容

有些东西是FPGA里专有的,是没有办法进行仿真的,比如PLL,其它一些IPCORE也可能会被Debussy认为是黑盒子,那这样的话必须写一个仿真模型,在代码中通过加入define SIM 的方式与FPGA合成分开来写。 建议: 1. 放弃GUI,全部使用脚本,但不是你说的DUMP波形的语句,DUMP只是工具的VPI而已,脚本只是用来管理工程,比如shell或makefile 2. 三片FPGA有交互,那三个设计就放在一个TOP.v中再写TESTBENCH,使用modelsim/ncverilog/vcs ...
发表于 2011-12-4 20:46:29 | 显示全部楼层
有些东西是FPGA里专有的,是没有办法进行仿真的,比如PLL,其它一些IPCORE也可能会被Debussy认为是黑盒子,那这样的话必须写一个仿真模型,在代码中通过加入define SIM 的方式与FPGA合成分开来写。

建议:
1.  放弃GUI,全部使用脚本,但不是你说的DUMP波形的语句,DUMP只是工具的VPI而已,脚本只是用来管理工程,比如shell或makefile
2.  三片FPGA有交互,那三个设计就放在一个TOP.v中再写TESTBENCH,使用modelsim/ncverilog/vcs仿真。
3. 三片FPGA分别有三个FPGA的工程目录,每个工程目录下都有合自己使用代码的脚本,速度比GUI要快一些。
4. 思想不对,不能因为觉得上手慢就放弃使用脚本,多用几次就熟了,可以参考别人的例程修改成自己用的,不然项目到后期会非常难于管理。
发表于 2011-12-4 20:52:08 | 显示全部楼层
debussy只是一个波形查看器,需要仿真的话还是的用modelsim,vcs等仿真工具,先仿真,把波形DOWN出来,再用debussy查看。debussy需要的是.fsdb的波形文件。你可以上网看看modelsim+debussy联合仿真。
 楼主| 发表于 2011-12-4 20:58:53 | 显示全部楼层
回复 2# louisesunjie


    谢谢回复。
我明白debussy是一个波形查看和trace工具,我帖子也说了,已经把modelsim的路径加入了debussy的preference中了,并且菜单栏能有simulator的button,看了写教程,知道要在testbench中写dump出来fsdb文件。但是由于基本没有接触过脚本,对脚本确实有些不了解;另外,库应该是有些问题,我不清楚debussy库的建立是要单独的一些脚本操作,或者进行一些GUI操作或者其他的什么
发表于 2011-12-5 00:00:19 | 显示全部楼层
debussy里调用modelsim好像不好使,你可以用modelsim dump波形
 楼主| 发表于 2011-12-5 19:00:59 | 显示全部楼层
谢谢 是不好使 还是不能用啊
发表于 2011-12-5 22:38:25 | 显示全部楼层
在testbench里写一个dump语句,dump一个fsdb文件,导入到debussy就可以进行调试了!当然导入文件的时候还要把相关的verilog文件加入即可进行交互调试,可以在网上搜索一下有具体方法的!!!
 楼主| 发表于 2011-12-5 23:44:33 | 显示全部楼层
回复 7# neoitachi


谢谢你   
我现在就是import file后,很多模块显示undefined module,这个如何解决?如何在debussy中调用modelsim,就是无缝连接,比如类似ISE调用modelsim那样?
 楼主| 发表于 2011-12-6 22:25:45 | 显示全部楼层
就是说import file后,那些undefine module 如何被识别
发表于 2011-12-6 23:07:08 | 显示全部楼层
没有用过debussy调用过modelsim,但是用过slickeditor调用modelsim和debussy,你可以试试这种方式,同时在tb中不要忘记加上    $fsdbDumpfile("wave.fsdb");$fsdbDumpvars;
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 10:39 , Processed in 0.036166 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表