在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 9848|回复: 9

[转贴] verilog的模块端口不支持二维数组,google一下变通就可以用!

[复制链接]
发表于 2011-9-21 17:18:42 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
今天写verilog代码的时候,需要用到二维的端口,在google是搜索了一下,可以这样做:

// pack 2D-array to 1D-array
`define PACK_ARRAY(PK_WIDTH,PK_LEN,PK_SRC,PK_DEST) \
                generate \
                genvar pk_idx; \
                for (pk_idx=0; pk_idx<(PK_LEN); pk_idx=pk_idx+1) \
                begin \
                        assign PK_DEST[((PK_WIDTH)*pk_idx+((PK_WIDTH)-1)):((PK_WIDTH)*pk_idx)] = PK_SRC[pk_idx][((PK_WIDTH)-1):0]; \
                end \
                endgenerate

// unpack 1D-array to 2D-array
`define UNPACK_ARRAY(PK_WIDTH,PK_LEN,PK_DEST,PK_SRC) \
                generate \
                genvar unpk_idx; \
                for (unpk_idx=0; unpk_idx<(PK_LEN); unpk_idx=unpk_idx+1) \
                begin \
                        assign PK_DEST[unpk_idx][((PK_WIDTH)-1):0] = PK_SRC[((PK_WIDTH)*unpk_idx+(PK_WIDTH-1)):((PK_WIDTH)*unpk_idx)]; \
                end \
                endgenerate

module example (
    input  [63:0] pack_4_16_in,
    output [31:0] pack_16_2_out
    );

wire [3:0] in [0:15];
`UNPACK_ARRAY(4,16,in,pack_4_16_in)

wire [15:0] out [0:1];
`PACK_ARRAY(16,2,in,pack_16_2_out)

汗颜~~~~~~~~~~~
发表于 2011-9-21 19:49:34 | 显示全部楼层
这种东西能综合么,假设能的话,综合出来是个什么东东啊
 楼主| 发表于 2011-9-21 20:35:23 | 显示全部楼层
当然能综合啊
综合出来就是连线而已!就是把线分组了以及合并。
for循环在硬件上只是展开,并行的,不再是软件的for循环了。
发表于 2011-9-21 20:46:42 | 显示全部楼层
有什么意义啊,端口还是一维的。
 楼主| 发表于 2011-9-21 21:38:25 | 显示全部楼层
在做项目中需要用到,
所以找了找!只是作为参考
后来发现有更简单的办法,太爽了。
发表于 2011-11-29 00:08:48 | 显示全部楼层
请问楼主后来用了什么简单方法呢?
发表于 2011-11-29 09:16:11 | 显示全部楼层
这样可读性差很多
发表于 2011-11-29 13:47:38 | 显示全部楼层
简单方法? SV?
 楼主| 发表于 2011-11-30 22:58:04 | 显示全部楼层
简单方法就是后来重新设计电路,将电路模块化层次化,先做好小的模块,一步一步的做就可了。
复杂性往往是由于复杂的想法导致的
发表于 2019-9-25 17:27:41 | 显示全部楼层
感谢一下~
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 19:05 , Processed in 0.040178 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表