在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3206|回复: 3

ezDSO虚拟示波器

[复制链接]
发表于 2006-10-17 17:19:18 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
易捷仪电成立于2004年,致力于研发、推广创新的虚拟仪器系列产品。取名易捷,寓意带给你容易、便捷的测量体验之含义。目前拥有一支从博士到本科的高效研发队伍,努力不断推出创新的产品。其推出的ezDSO系列虚拟仪器系列产品,以平民化的价格,带给您专业、便捷的测量体验。一举解决了中小公司、个人、高校遇到的购买数字示波器价格高昂,而模拟示波器又使用不方便的缺憾。
我们的目标:打造中国最佳的虚拟仪器产品
              让我们一起努力!
Ezdso系列虚拟示波器特点:
1。功能强悍,可以扩展为 逻辑分析仪、信号发生器。
2。支持USB接口通讯,即插即用。
3。中文软件简单、易用、明了。
4。设计轻巧,方便携带,适用于外出使用。
5。支持固件在线升级(同类仪器没有该功能)   
6。超长采样深度,最高达256K/每通道(超出同类仪器一个数量级)
7。集成逻辑分析仪(11通道,每通道100M采样率)
8。最高采样率可达100M/每通道。
9。集成任意信号发生器。
10。支持多种触发方式(电平触发、上边沿触发、下边沿触发等)
优点:
ezDSO虚拟混合示波器以普通20M模拟示波器的价格,带给你数字示波器的体验,助您快速调试、开发PIC系统!
相比较模拟示波器的优势:
1。ezDSO虚拟示波器可以观察协议信号(串口\IIC\SPI\CAN总线\USB等);普通模拟示波器无能为力。
2。ezDSO虚拟示波器可以存储波形,留待下次比较、研究;普通模拟示波器无能为力。
3。ezDSO虚拟示波器可以读出波形的周期、频率、幅度;普通模拟示波器无能为力。
4。ezDSO虚拟示波器可以观察信号触发前(比如故障之前)的波形;普通模拟示波器无能为力。
5。ezDSO虚拟示波器可以观察超低频信号;普通模拟示波器无能为力。
6。ezDSO虚拟示波器可以扩展成为逻辑分析仪;普通模拟示波器无能为力。
7。ezDSO虚拟示波器可以扩展成为信号发生器;普通模拟示波器无能为力。
8。ezDSO虚拟示波器可以扩展成为信号采集器;普通模拟示波器无能为力。
注:在观察某些信号时候,模拟示波器也有其优势,比如
1。模拟示波器具有第三维(亮度)显示功能;ezDSO虚拟示波器暂时没有该功能。
2。模拟示波器对观察模拟连续信号,具有更高的更新速率和稳定度。ezDSO虚拟示波器暂时没有该功能。
相比较同价位的产品,ezDSO虚拟混合示波器具有以下明显优势:
1。超长采样深度,最高达256K/每通道(超出同类仪器一个数量级)。同类产品基本在4K~32K之间。
2。部分型号集成逻辑分析仪(11通道,每通道100M采样率)
3。部分型号集成任意信号发生器(最高输出频率为10M)。
4。支持固件在线升级(同类仪器没有该功能)   
如果需要了解详细情况,请登陆
主页:http://www.ezdso.com/
详细产品介绍:http://www.ezdso.com/product_list.htm
应用笔记,请看:
1.ezDSO测量常见波形的结果  
http://www.ezdso.com/datasheet/EZDSO-measu_reresult.pdf
2.EZDSO虚拟示波器在RS485通讯调试实验
http://www.ezdso.com/datasheet/ezSDO-AN01-RS485.pdf
3.EZDSO在IIC-BUS通讯中的调试[实例]
http://www.ezdso.com/datasheet/EZDSO-AN02-IIC.pdf
4.UART串口测试、调试指南
http://www.ezdso.com/datasheet/com_debug_guide.pdf
如果您还在仅仅依赖万用表来调试电路,那么快开看看我们ezDSO虚拟示波器吧,相信他会带给你非凡的测试体验!
发表于 2007-7-9 13:34:35 | 显示全部楼层

楼主说得好啊。。介绍的很详细!!
楼主说得好啊。。介绍的很详细!!
发表于 2007-7-9 13:38:40 | 显示全部楼层

楼主说得好啊。。介绍的很详细!!
楼主说得好啊。。介绍的很详细!!
楼主说得好啊。。介绍的很详细!!
楼主说得好啊。。介绍的很详细!!
发表于 2007-7-13 11:12:43 | 显示全部楼层
:D
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 23:47 , Processed in 0.025500 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表