在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7045|回复: 16

[讨论] 用异步reset的理由

[复制链接]
发表于 2010-10-28 12:10:42 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
同步复位必须有时钟才使能,这个就是问题。大家看有没有道理。当使用power compiler用ICG方法来做clock gating自动处理的时候,latch的EN可能会被同clock domain内的另一些DFF 控制,并且这些DFF和latch之后的所有DFF共用reset信号,故此DFF需要异步reset设计保证正常复位。
发表于 2010-10-28 16:46:48 | 显示全部楼层
(1) 同步复位不一定有时钟才使能。没有时钟,DFF也会输出一个电平。但至于是什么电平,与工艺库有关。一般应尽量避免这种使用。
(2) clock gating一般不是gate后产生全局时钟。latch的EN信号受未gating clock的控制,而clock gating后的DFF则受gating clock的触发。这时用异步复位就不是你所说的那个理由。当然,也不排除gating clock域的信号返回gating前的时钟域的情况。
发表于 2010-10-28 17:22:05 | 显示全部楼层
(1) 同步复位不一定有时钟才使能。没有时钟,DFF也会输出一个电平。但至于是什么电平,与工艺库有关。一般应尽量避免这种使用。
(2) clock gating一般不是gate后产生全局时钟。latch的EN信号受未gating clock的控制,而clock gating后的DFF则受gating clock的触发。这时用异步复位就不是你所说的那个理由。当然,也不排除gating clock域的信号返回gating前的时钟域的情况。
 楼主| 发表于 2010-10-29 11:48:34 | 显示全部楼层
谢谢指教,就是说的太快太短了呀,我还是不明白

同步复位不一定有时钟才使能,这不就会导致rtl仿门仿不一致吗?而且这样的元件和异步复位寄存器元件有什么区别呢?另外查了ICG的后仿情况,发现EN在reset和之后都是默认值为1,也就是打开的。也许DC工具作的好?整个网表那么多EN全无例外,这也保证了复位和时钟都能工作。从这一点看,我的理由是站不住脚的。

我就是听说异步复位寄存器好,不太清楚具体都好在哪里。


肯请明白人点拨赐教。
发表于 2010-10-29 12:43:19 | 显示全部楼层
也许这英文文章可能有用. CummingsSNUG2002SJ_Resets.pdf (271.44 KB, 下载次数: 210 )
 楼主| 发表于 2010-10-29 18:39:16 | 显示全部楼层
看了,谢谢

文件里表示asyn reset cell最大的优点是reset不进入data path,而sync reset cell实际要多用一级组合逻辑。第二个优点是rst不需要clock.
对于其他种种弊端,可以用async reset搭建逻辑再加上另外设计的reset同步电路来生成全局reset,并在STA中修好removel vio就可以全部解决。今天的综合工具也加强了处理,不会出现前后仿真不一致。

sync reset cell虽然可以省一点点面积,但这在今天几乎不足考虑了。

看看tsmc的库,从130nm到90nm越来越明显,90nm下用async能大概省200ps左右。
 楼主| 发表于 2010-10-29 18:45:09 | 显示全部楼层
所以有条件的支持带异步reset的设计风格,而要和同步reset说88了。这个前提就是全局clock,reset控制模块做好切换/同步/后端的工作。也听说在华为设计中就有专门的CRG模块嘛,单独设计又干净又安全。
发表于 2010-10-29 18:45:21 | 显示全部楼层
大家讲的好啊
发表于 2010-10-29 19:23:46 | 显示全部楼层
学习了。不过异步复位似乎很容易出现亚稳态啊。(*^__^*) 嘻嘻……
发表于 2010-10-30 09:30:00 | 显示全部楼层
CummingsSNUG2002SJ_Resets.pdf 有没有中文翻译版本?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 15:16 , Processed in 0.038102 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表