在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 9147|回复: 16

[求助] slack为负,为啥经过每个cell的延迟都挺大

[复制链接]
发表于 2010-8-10 18:59:52 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
slack为负,为啥经过每个cell的延迟都挺大
这是我的time-report,请大侠帮帮忙,约束哪里设置不合理
  Startpoint: U1/mult_141/inst_clk_r_REG225_S1
              (rising edge-triggered flip-flop clocked by inst_clk)
  Endpoint: U1/mult_141/inst_clk_r_REG132_S1
            (rising edge-triggered flip-flop clocked by inst_clk)
  Path Group: inst_clk
  Path Type: max
  Des/Clust/Port     Wire Load Model       Library
  ------------------------------------------------
  DW_mult_pipe_inst  ibm13_wl30            scx3_cmos8rf_lpvt_ss_1p08v_125c
  Point                                                   Incr       Path
  --------------------------------------------------------------------------
  clock inst_clk (rise edge)                              0.00       0.00
  clock network delay (ideal)                             0.30       0.30
  U1/mult_141/inst_clk_r_REG225_S1/CK (DFFSHQX8TS)        0.00       0.30 r
  U1/mult_141/inst_clk_r_REG225_S1/Q (DFFSHQX8TS)         0.93       1.23 r
  U1/mult_141/U1368/Y (BUFX20TS)                          0.45       1.69 r
  U1/mult_141/U1473/Y (CLKXOR2X8TS)                       0.77       2.45 r
  U1/mult_141/U763/Y (INVX16TS)                           0.23       2.68 f
  U1/mult_141/U534/Y (OAI22X4TS)                          0.63       3.31 r
  U1/mult_141/U1140/CO (CMPR22X4TS)                       0.88       4.19 r
  U1/mult_141/U1470/S (ADDFHX4TS)                         1.06       5.25 f
  U1/mult_141/U1166/Y (MX2X4TS)                           0.75       6.00 f
  U1/mult_141/inst_clk_r_REG132_S1/D (DFFRX4TS)           0.00       6.00 f
  data arrival time                                                  6.00
  clock inst_clk (rise edge)                              5.00       5.00
  clock network delay (ideal)                             0.30       5.30
  clock uncertainty                                      -0.30       5.00
  U1/mult_141/inst_clk_r_REG132_S1/CK (DFFRX4TS)          0.00       5.00 r
  library setup time                                     -1.17       3.83
  data required time                                                 3.83
  --------------------------------------------------------------------------
  data required time                                                 3.83
  data arrival time                                                 -6.00
  --------------------------------------------------------------------------
  slack (VIOLATED)                                                  -2.17
 楼主| 发表于 2010-8-10 19:12:57 | 显示全部楼层
如果我将clk周期设为20ns的话,slack则变为了0,  
data required time                                                18.84
  data arrival time                                                -18.84
  --------------------------------------------------------------------------
  slack (MET)                                                        0.00
是不是约束设的太严格了,需要改哪些约束条件呢
发表于 2010-8-11 08:18:22 | 显示全部楼层
U1/mult_141/U1140/CO (CMPR22X4TS)                       0.88       4.19 r
  U1/mult_141/U1470/S (ADDFHX4TS)                         1.06       5.25 f

这两条路径的延时比较大,看看是不是扇出太多了。
过约束可能会导致时序分析不过,这个很正常,关键是看你到底要跑在什么时钟下。
过约束的时候,工具可能会使用驱动能力较大的单元,要注意的。
发表于 2010-8-11 09:03:55 | 显示全部楼层
library setup time  -1.17这个挺大的,你查查有没有超过库里面的查找表范围
发表于 2010-8-11 10:21:41 | 显示全部楼层
学习~~
发表于 2010-8-11 11:36:25 | 显示全部楼层
学习学习
 楼主| 发表于 2010-8-11 14:46:03 | 显示全部楼层


============================================================
  U1/mult_141/inst_clk_r_REG225_S1/CK (DFFSHQX8TS)        0.00       0.30 r
  U1/mult_141/inst_clk_r_REG225_S1/Q (DFFSHQX8TS)         0.9 ...
ccj507 发表于 2010-8-11 14:40

谢谢啦,果然是库太差了,换个库要好的多
 楼主| 发表于 2010-8-11 15:07:59 | 显示全部楼层


U1/mult_141/U1140/CO (CMPR22X4TS)                       0.88       4.19 r
  U1/mult_141/U1470/S (ADDFHX4TS)                         1.06       5.25 f

这两条路径的延时比较大,看看是不是扇出太多了。 ...
我将真事隐去 发表于 2010-8-11 08:18

这位大虾,怎么检查其扇出呢,如果扇出太多了,应该怎么加约束呢
发表于 2010-8-12 13:17:33 | 显示全部楼层
扇出太大可以通过优化选项复制寄存器解决下
发表于 2010-8-12 15:23:39 | 显示全部楼层
我觉得:第一是你的速度过快(200MHz),第二你的库的速度过慢,数据通过一个寄存器要将近1ns?(如果不是过驱动的问题的话)。所以用一个慢库的器件去实现高速的功能,就造成了lz现在的问题。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 07:20 , Processed in 0.037642 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表