在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5503|回复: 13

[求助] 菜鸟来问:如何在active-HDL中dump fsdb波形?

[复制链接]
发表于 2010-7-19 11:09:29 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
使用了$fsdbDumpfile和$fsdbDumpvars两个函数,但是,warning如下:
# Warning: VCP7050 dct_tb.v : (73, 39): Non-standard system task enable: $fsdbDumpfile.
# Warning: VCP7050 dct_tb.v : (74, 26): Non-standard system task enable: $fsdbDumpvars.
该怎么操作呢?
发表于 2010-7-19 11:31:56 | 显示全部楼层
环境变量LD_LIBRARY_PATH没设。
LINUX下加这一条:
   setenv LD_LIBRARY_PATH ${VERDI_INST_DIR}/share/PLI/${SIMULATOR_VERSION}/${PLATFORM}LD_LIBRARY_PATH
 楼主| 发表于 2010-7-19 14:54:14 | 显示全部楼层
那个,在windows下呢?
发表于 2010-7-20 09:41:30 | 显示全部楼层
右键点“我的电脑”-->属性-->高级-->环境变量,然后在这里添加LD_LIBRARY_PATH,一样指向Verdi的安装目录下对应目录。
 楼主| 发表于 2010-7-20 11:00:40 | 显示全部楼层
那个,不好意思,还有一点点问题。
如果是debussy的话呢,该指向那个目录?debussy的PLI目录下有modelsim_fli53、modelsim_fli54、modelsim_pli、modelsim_pli54、nc51、nc_vhdl、nc_xl、vcs和vcsd。我就不知道该用哪个了。而且有的文件夹下有pli.lib和*.dll文件,那么,我该用lib呢,还是用dll文件?还请指点一下。
发表于 2010-7-20 12:15:34 | 显示全部楼层
实在搞不定,就用另一种方法,命令行参数加载,详细内容请看附件文档

使用Modelsim产生Debussy仿真波形.rar

181.11 KB, 下载次数: 28 , 下载积分: 资产 -2 信元, 下载支出 2 信元

 楼主| 发表于 2010-7-23 13:08:26 | 显示全部楼层
谢了。
发表于 2010-8-20 14:30:04 | 显示全部楼层
编译的时候加上  -loadpli1  debpli:novas_pli_boot 参数 就好
还要testbench加上
initial begin
$fsdbDumpvarsTofile();
#10000;
$fsdbFinish;
end
发表于 2010-8-20 15:12:05 | 显示全部楼层
编译的时候加上  -loadpli1  debpli:novas_pli_boot 参数 就好
还要testbench加上
initial begin
$fsdbDumpfile("top.fsdb");
$fsdbDumpvars(top.level1);
end
发表于 2010-10-25 08:44:12 | 显示全部楼层
喔 原來還可以這樣阿!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 21:45 , Processed in 0.033996 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表