在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 13373|回复: 18

modelsim的错误!

[复制链接]
发表于 2004-12-30 10:10:44 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
Error: (vsim-3033) E:/XILI/bin/HDB3/source/DLL.v(63): Instantiation of 'BUFG' failed. The design unit was not found.
为什么我的仿真库建立了还会出现这种错误?
不是从ISE调用modelsim的,是直接启动modelsim仿真DLL时。
难道是仿真库没有建立好?
但是modelsim.ini里面有; verilog Section
unisims_ver = $MODEL_TECH/../xilinx/verilog/unisims_ver
uni9000_ver = $MODEL_TECH/../xilinx/verilog/uni9000_ver
simprims_ver = $MODEL_TECH/../xilinx/verilog/simprims_ver
xilinxcorelib_ver = $MODEL_TECH/../xilinx/verilog/xilinxcorelib_ver
aim_ver = $MODEL_TECH/../xilinx/verilog/aim_ver
cpld_ver = $MODEL_TECH/../xilinx/verilog/cpld_ver
发表于 2004-12-30 10:29:52 | 显示全部楼层

modelsim的错误!

你把xilinx所有的库都编译到你的work目录下,试一下吧,应该是可以的哦
 楼主| 发表于 2004-12-30 10:40:56 | 显示全部楼层

modelsim的错误!

!!!!!!!!!!
我找不到SRL16和DLL是放在哪个库里了。
发表于 2004-12-30 11:07:54 | 显示全部楼层

modelsim的错误!

如果是做前仿真,调用unisims_ver:vsim -L unisims_ver ...
如果是做后仿真,调用simprims_ver:vsim -L simprims_ver ...
 楼主| 发表于 2004-12-30 11:12:50 | 显示全部楼层

modelsim的错误!

# ** Error: (vsim-3043) E:/Modeltech_xe/xilinx/verilog/src/unisims/OBUF.v(23): Unresolved reference to 'glbl' in glbl.GTS.
着又是什么回事?
下面是库里OBUF的程序
`timescale  100 ps / 10 ps

module OBUF (O, I);
    parameter CAPACITANCE = "DONT_CARE";
    parameter DRIVE = 12;
    parameter IOSTANDARD = "LVCMOS25";
    parameter SLEW = "SLOW";
   
    output O;
    input  I;
    tri0 GTS = glbl.GTS;//说该行有错!!!!!!!!!
    bufif0 B1 (O, I, GTS);
endmodule
发表于 2004-12-30 11:26:28 | 显示全部楼层

modelsim的错误!

在Xilinx安装目录中拷贝glbl.v,并编译,执行vsim -L your_libs you_top glbl
 楼主| 发表于 2004-12-30 12:30:40 | 显示全部楼层

modelsim的错误!

有没有一劳永逸的方法呀!
这样感觉太麻烦了!
发表于 2004-12-30 15:38:10 | 显示全部楼层

modelsim的错误!

一劳永逸的办法就是使用MXE
 楼主| 发表于 2004-12-30 17:34:33 | 显示全部楼层

modelsim的错误!

我用的就是Modelsim XE呀!???
怎么还会这样?
发表于 2004-12-30 17:41:30 | 显示全部楼层

modelsim的错误!

你是在ISE集成环境中运行吗?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 01:19 , Processed in 0.032992 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表