在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 23982|回复: 121

VHDL分频器设计--偶数、奇数、半整数、小数、分数、积分分频器

[复制链接]
发表于 2009-9-22 11:53:28 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
Vhdl分频器设计计数器 1、普通计数器 2、约翰逊计数器分频器 1、偶数分频器 2、计数分频器 3、半整数分频器 4、小数分频器 5、分数分频器 6、积分分频器

[ 本帖最后由 360883850 于 2009-9-22 12:57 编辑 ]

VHDL分频器设计.pdf

504.52 KB, 下载次数: 1039 , 下载积分: 资产 -2 信元, 下载支出 2 信元

 楼主| 发表于 2009-9-22 13:00:16 | 显示全部楼层
好东西分享了
 楼主| 发表于 2009-9-22 13:31:28 | 显示全部楼层
dingshang qu a
 楼主| 发表于 2009-9-22 15:17:27 | 显示全部楼层
没人顶吗?
发表于 2009-9-22 15:40:02 | 显示全部楼层
这个比较有用,作系统都会用到
 楼主| 发表于 2009-9-22 15:43:33 | 显示全部楼层
加油啊
发表于 2009-9-22 16:52:07 | 显示全部楼层
发表于 2009-9-22 17:15:12 | 显示全部楼层
好东西下载下来看看
发表于 2009-9-22 18:19:49 | 显示全部楼层
好,不错不错
 楼主| 发表于 2009-9-23 11:56:33 | 显示全部楼层
haodingxi
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-23 17:16 , Processed in 0.027132 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表